diff --git a/3_SC2_KC1_TC/test_cases/in_avg.txt b/3_SC2_KC1_TC/test_cases/in_avg.txt deleted file mode 100755 index f260798..0000000 --- a/3_SC2_KC1_TC/test_cases/in_avg.txt +++ /dev/null @@ -1,4008 +0,0 @@ -AD40A896B1C7EAA052B1A70BD645DB66 -848185DFA951F11E1397248A6A698B17 -1223344556677883 -0 -776FD514CFE2899A065CCDA54C44E565 -52878113B5844CFF136FD98C72E2F74C -1223344556677883 -1 -776FD514CFE2899A065CCDA54C44E565 -52878113B5844CFF136FD98C72E2F74C -1223344556677883 -2 -36414533334639413632443731383341 -00363933314641433944414232423336 -4332343842383744 -0 -CEC37FF48B084D7A25036D3724FD2089 -43FFAC0BA5DF874C11E9560061053142 -4532343131444337 -1 -5574E49C2E6D93BAF15B4569BE977B13 -93180475E68AD55D18AA7BF8E6B8EA16 -3241453342414141 -2 -46334538434234353433413545423933 -00394635314231333546373039314135 -4142423038373444 -0 -58C352B3934CC67667AE08C2DF0A7C62 -A5454BB51CCEC74646B6775F7DC63BB3 -3344333038373543 -1 -E3F3D21393E10DA37C3BF721646C4D09 -0878E81465172344774EE2B644FC1C86 -4346453741424335 -2 -41414335453838344342334543374442 -00343346323034454632353944324539 -3830343241393438 -0 -626A0A02E00215F1462A5D3C81B89549 -A7375336EC761CB6290A17E352C2D3B9 -4532434341343036 -1 -819150CCB755E557EB2AC06AD05B9322 -58D480F0346A39AA8930E89F755894ED -3745303230383635 -2 -35463835393245333041323230354134 -00454345313533383433413442313930 -3237344542433935 -0 -EF32E738F03579FAF25B2F96F6B09F00 -06507CB5256417903326DDEE92F16E5E -4632303934454335 -1 -7356721DB8341A279858D35150D70586 -D2A3DB41BC948E2913F21EAF8B15F706 -4632433334394641 -2 -37393135363134463541324143394530 -00343633353137343433373844363243 -3539323835413844 -0 -EB9A77B1974F1FDF6F9AB74BA9108C09 -F23F324CE5C922C7706D16DD344964A2 -4238463139333045 -1 -B700A9C6A828B2403B568FC1315523F3 -143351BCC8B9140DA8D251E32986C7B9 -3941393531334645 -2 -46443943454532443943434137423443 -00423946314245413537463641453446 -3142314445334237 -0 -424B64264005671549F540914765D883 -80928942B3D9AF0F4A93912A6992F51C -3930423746453438 -1 -8F857180D147A5103C1E0CB29DC4222F -A87407DEF277FF5BD79ACB16C9D164CD -3339414630374543 -2 -37324244344431384333414542363443 -00463232323842353135353143334432 -4337444533463530 -0 -9BF9CF0B03CA6F277052FA5C9FE639E0 -4A2324C41E0794D61C2B494CB02E6527 -4432423246434142 -1 -4846106CC28CE4B123886F31753D2F82 -CB1955B3194F52C4AFD1F17EEC5E3689 -4237383635304343 -2 -35313644314238314535463730394332 -00324444394141353233423733373441 -3133323345453642 -0 -CF375042B6381AA02035ED3E64D63F3D -FACF12B653A378D4D56A1F289546479B -4131444243364441 -1 -DD019ADB88C17F7B3257E9968A4B4DA6 -BF2F04A9C22305433849670F532F26F3 -4641443538413745 -2 -34394535423836443835354243464544 -00304638333739333136383931463832 -3842384432344130 -0 -04A930485F01F037D06F75F6EF63ECF9 -1555534BFDC64041037E684AE010CDD5 -4541463932353741 -1 -6818360E391D5D31AFB1C737A18D7FF7 -B4137C95FA1C012B6E227D7DF1096D2C -3841383346443441 -2 -37363345444344353936333234323343 -00443945394446313837343735393145 -3542333134433035 -0 -A18BEB048D2A1754D15C3591739D70FB -909D29FCD72AEB0C4A88CFB898C14DC2 -4442324237303031 -1 -635E441878E5B8812DF7992BC828A561 -205FA344ADEA417C8B3EAD823CB900E0 -3230323837383936 -2 -42464531424642384532364235424139 -00463645443335463437374230303232 -3938314535453146 -0 -CAD04D10CAE1E7C140B865051F83CBE8 -D97B8A8E3EFC4EB6413DF355C053E725 -4131303531434446 -1 -69525430E1D2CEE7CEC26905E875EFBA -0DF57491BFD3205BDF764DB4837B140E -4344324233464441 -2 -43444643333946454534414343353532 -00353543443842393544343844313345 -3337394631434342 -0 -E9EA035E370E04CBE12E89EC9BAC464A -F2829C5EC500CC05F92B63AF77946E04 -3831434241424139 -1 -BE7838EC1A327AA1D8CF4CED8B86C559 -4ECCA2093B34C450A98418448D79215D -4143383437363142 -2 -39374244304236423744333842373336 -00423738314534424244463233304432 -4539373733373442 -0 -10532FA4E7275468116E3007763E1D05 -719028DA5D4F17E36CDD32D919B70C5A -3239303235364443 -1 -C0B2FF02B8DE066121734656BC418CF4 -9B604AC659C32066B3F1449F9EA02667 -3131343938393531 -2 -36443242454143443646453230364632 -00423331433037443243364135424343 -3538374530343841 -0 -FC268D03CC013D8BE3E7514EBA52454F -78E597C70568ACE8B2B0678DEA2380A9 -4144303837433544 -1 -81473B87C8CC9266A6DC28DED39751D8 -2B6CEDB605C4202DF8FB932500F4F5CE -3731423130394433 -2 -33414333444446364330334633453938 -00463143323342413436423446393833 -4146393231344431 -0 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -49A95DCCE591F7B6B365DF156E64CD64 -3132424530423543 -1 -59B4348C5B44595F84EA933DB0319841 -CD0E8646DC7ABE7932D1A2DE300783CF -4331373336454546 -2 -34444331463838323439323446413037 -00423030303638433846464544454445 -4332383236443131 -0 -9F85DEE42303A2C0E20A04845DDA1E77 -FDA691B5E227EBDB6CDCF78D0BD898DB -4239304131384335 -1 -577B99301E66233A79C742D8BA46D516 -3F4F44F1EA1DB2F85360CB8BD02B08F3 -4536463730384141 -2 -35343345444545414235343739433133 -00443741433438414138314230433536 -3038433244383031 -0 -F77FB55266BC98A50BF4870006392D8C -5C522EB0BBF9C350C41D3A839A668366 -3645333343314437 -1 -F7D03A37117A3B2A7878CE0F425E4D32 -9A813101FA8AA04D332E1518A5FEA593 -3833353532373734 -2 -39323936443335363733303237463545 -00344539423346333734383943304434 -4531324434303436 -0 -284A056F607D4C1145E881A8BDA3DDBB -14A8D81411D39D815FB9A63F3359FF7B -4639364343423233 -1 -70F6C84CE8C382C03C0630B12924335C -CD12201E26B08B8DD3C627165FFB5E2C -3631453842324533 -2 -39383832383637353633433942433234 -00464337333846393945313430463845 -3331443142323145 -0 -A0CDA8870E163704906ABD1D910041E6 -661C31AFCE1B2490BFF314FFBF68B74C -3033343841424430 -1 -FAA8948F8061630A58363079ECC9B2FB -321E07F26CF326C263BAC5373996F345 -3241443835433833 -2 -41433445324439303235334632384539 -00344332444435463733384346304634 -3332314141414144 -0 -967DCCB7D1348E71E00ED10F6D075F82 -C4D705DFE31F91FA19046DD6A9E1054C -4132334346444431 -1 -89ADCE4814674F2ADBFC9B64CDCED57E -5AB6E0C568218BCE4890C12EE2161B80 -4531363630344135 -2 -31373045343342323736463932443946 -00344244324345304434364438313231 -4134323244323832 -0 -36D4A7380437C957153533AC211177A0 -1D4303FD574854E27863A793783D2E33 -4333463037413345 -1 -EBDE1A8949480A91E59742570BE03005 -E2022DB050184D1D11E47EAD1446C36B -3336463338323539 -2 -46454138454539303042453342383931 -00323145333041363641414543463844 -4634433645433133 -0 -D9D4FCA7E35BDB0B532E2CEB135AF536 -4CEE8CD3097B212404B923CF98F5FF65 -3737423535353535 -1 -783D965F7DBBF859FB67E16535AC7DBD -7ECC18259D22F871F236FDAB821EFA97 -3233334535464341 -2 -43364546334332343441434144443232 -00373838363230383533364133323546 -4337464534303033 -0 -A1E91A4B0B12398704F2FC317CCCB456 -75BB0D9EDC0347F153AC1A3B18265A85 -4145454542303246 -1 -0E49ADF21CB47EAD92F5258A1FE67448 -930280AE8FED9CF9044B117BBAFD24D8 -3632363144314430 -2 -30394336384243393044454337324533 -00343035434133324341334142314133 -4538354441413035 -0 -17F5DD00A5ABB282B16D5F708E4752B0 -FCCAC824E226FF76E94ADB856725238B -3743314638443838 -1 -CA0F7E24288C27AEDA326073B525CEF0 -0B526768F18CC6BEA1DEE4D209768D65 -3736363038423543 -2 -38464537413538313334323133373638 -00454331454535353542354436413934 -4242433234463030 -0 -158D7BD55C81353E2484BD7B311A1D5B -17563FDC3437F8AE0E77C73FB190CA50 -3244374332304435 -1 -A0522FB24582F4455D0F1A74A5F05D80 -4C78134351B83A43FDE58926487A5B6D -4538373534364643 -2 -42314532353833353446464544393633 -00424341414541383631444137433633 -4546323234303038 -0 -5358BD3E6E224E4770A8D40975DB1B70 -4822819356928E698BB10A09AE40D632 -3044363636394241 -1 -DCB2CFD85A08BF3309A67F712AC23E7D -CA453B37661B56C7781E8298862A8E49 -3843364341464242 -2 -44444544394431334646344631363746 -00324531303231384537353837303345 -4245314336334143 -0 -4E01C49EC33635867FD4502D068211D8 -D08F24382B1A6EB77EBBE92F766D2642 -4338313535323834 -1 -E6F56B406E3F2AD7D7EE1C8C83433AC3 -85828CF74F1E4FC159341624A7447588 -3739434134444142 -2 -33423930353138364131304446343737 -00393941334534413730344244453732 -4536393532413745 -0 -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -C11A5B77366B63A1805AEBE0FD1FC71A -4539393341313934 -1 -BF9284488756CF5C1C20A0D56A354D51 -A18E6496959FFF5002AF3555E83B65B1 -3931303739393844 -2 -44444241383238443030454438443431 -00443831443846373930453239364645 -3139383238373437 -0 -006FF77C82BAAA56CF79EB74FC89AFC6 -49F7894D7C34196F6382A6D57EC8297B -4538443641353341 -1 -00ABF6BBC1BC84F34C70C954B8991C75 -8E5A66E4D28344905F0B60B99F56F831 -3742363637363236 -2 -35443742433446373543354342414136 -00304344374635454143343232363844 -4237463938354438 -0 -3F341A0BCDC2470F597722F56E4D63A3 -F8275BC2997040459C83B10DECECD9E4 -3446423033423738 -1 -B591BA749679BEFDF89933BC0055B8EB -28028B6EEC984A6F5D6AD0824A04211A -4446433445343243 -2 -32363838353944423433333034354134 -00423638433132393045454532304137 -3839444444433430 -0 -A920914E8C6B5D71FB9966DFF47A4521 -B34A9F13E3B59755CFC91ECC6C230B98 -3842324435463839 -1 -2FDC00F6EDDFFC46CE90182E256831CA -B1086871CD1ADAAAF4F5B87C15B511EB -4642453046413542 -2 -41373639324541333134393646374235 -00323936354244343643353636413145 -4231303435333042 -0 -9974637B0B2B3ADABDAD4C3AC7C04B41 -8CFCD1B566266D5EB4B1B811624F16F2 -3135453433393734 -1 -081680A1E34562D71BE30FB608207166 -99825C1E7807523BB68972E4289418A7 -3731334637354144 -2 -39433832393039373732463531464132 -00373938444630353133343838463641 -4434363738413342 -0 -80DEA01EBBE9DB5E32C9F65D63D2E849 -75B166321C29942CD3DCA2772F7ED699 -3131324131423139 -1 -E12A5793592ABB5421C08C51C9664632 -97836A0B75FC57D9690AD14B7B530EB6 -3431333539353830 -2 -32313042313144433539454339343742 -00334332373036423141304246394230 -3046464432314236 -0 -647F9C5A7876752E4A89EB0261D212A9 -6992072F4F449796BC613775CED869B3 -4238424339383845 -1 -8C13311381B6DCF5ECD962E864E777AF -51DA8B494C43A18C255145C92F45CD72 -3932323434394445 -2 -38454630393437313437453745323438 -00303044333734443936314446454235 -3134383941383341 -0 -E916A6227A3E08C6BBD8EC508A38B76B -8AA6E6ABB29A5DF5BB74E1C7BE433476 -3039363944444231 -1 -A427BC48E097B5B86CFF58A4F6834DDB -9BC9308A857E1D18D286F9B48FABB497 -4132303646424232 -2 -45413041313746343343304632413641 -00434443433639363841433735374131 -3033463337333736 -0 -2BB7F7405F75CF41E6D841F0DC447327 -B817FA79CA749D5B2A57419D2C70DDFA -4644363034353537 -1 -DB56F711C1FB277BC16970723D087DBA -81F7AA26967CC9319437A30D655BAC75 -4644444641433239 -2 -37393346443233333737303532363342 -00343444454236453833443230464244 -4644383632453038 -0 -D9815E2BE4C3C50A559DE175090413D7 -0D4C43EF035CAD469AE5C0B206EBAFD4 -4534354336384644 -1 -6D83D65BB5E733825FB7C6A4785001C1 -88C966437653BD8F91F9D431D17E53D6 -4246353136323244 -2 -35353439414136384331393839443031 -00314231433833373738394442424138 -3745413638363645 -0 -8EBA64C7DC63B7A4032C97B7CB757751 -57FFAF6CCEC35C8761E10E85154ADE4F -4432383744454639 -1 -969A2E65E0A9EC60F271A6A22F20C422 -D766198011A6BD00B26A5504833A7E9F -4631453532383144 -2 -34423146363533383045463139433234 -00424237314143314241364532374233 -3436353643303044 -0 -EB9E4FD21D9C801CF78C973ADC494D4B -8F19458F2343862C7B133CC24F3D97AF -3745344433373533 -1 -C0EC3D79E42819B8C6C206AC87B291DD -FE2BB0DABB2EF30F0EF42FA03916D208 -4344344434373534 -2 -46414234423842343637434343394645 -00454338363544323231303638354136 -4145374338464333 -0 -4E0B3C57EEC9925165ABCEC4F4FB1884 -630989CFBCA087F13E769CA6E9184178 -3134334343453133 -1 -908795C57A045E6525DF87722573D9A3 -0877843AFA0BDB62132CA4944079B9D5 -4532343531353645 -2 -30363333353341333330453341423136 -00463642303944454332334437394231 -3442454442433336 -0 -8ABF32BF00468DDFABF172554BD265FD -FCEDC106628DB554B4A9666022AC2032 -3846344433453036 -1 -EED567D3E610D210CF397C1C1F800C45 -DCA511D61DA95F8C65F500101E1A1E48 -3144314237303235 -2 -46453638443644384131433139383945 -00333334373843383545334445353231 -3333343132313544 -0 -AF010EB3E6342B84BACB1B33D2114D73 -4A96F23B8D245CE3F903774D0ACFE4BB -4137333744304637 -1 -100D9B25CA2E726B0757ACB97DD07C02 -5F7A699CD8FE21D46DF337205E22F72F -3643354145453544 -2 -41423732353941373745334636394541 -00443442383836463442393339453042 -3346304435393639 -0 -DB91BC1285621EB818C8AD61698D2F8F -2DA82471FFBE1B6F6F097EBCFD65928F -3834464444393434 -1 -A61F8EBF54E94618A1874445B96A31C1 -B34BDF90C76FBBB65930AB1A34554B1B -4641454643353437 -2 -31344132453138394346454543344633 -00413735324436313734303339344134 -4132313935464338 -0 -440FB21679E2BD268A7C2A23F7C9E124 -4DB3E1E00AE1AEE929D0C23A4698E7F2 -3742413543324538 -1 -3D80A1E5863CFA17923C3A98A8FD0709 -983C40C9F6BEA2D69D40E5B5A89A726B -4142454130453335 -2 -36304535344534374346423846304539 -00454233434635364133304232333037 -3046334434423038 -0 -3F78ACF739A401A2B140EA24F994E31B -6569D652508644F3E1E4DFB6E4198C4C -3046363444424341 -1 -A2D0ABDDA7808ACCCF499F033C62C721 -43F34C88BE023EC06A2E86E0781F7D66 -4433453434314534 -2 -35433345343030413739423433304530 -00373930444135414433453234433643 -4244313641374443 -0 -CB32116FDA7D2F5FBC24680E66CECCF9 -2B17F74EF03A9E3A72B140C2A7A28ACC -3430463830463238 -1 -0E9F435D92B62967BB15F12977BEF51A -1B765EF9712B69DBE2A7AF9F6640BEFB -3230304642433032 -2 -34394545353433454334333838453743 -00383035433441453442454637453132 -3534353843354132 -0 -6E8BA249E7DAF6D6FCF905C37C008385 -B8E674ACE3C1897B3A3590D8335FB4A0 -3444303931343744 -1 -7F46BA3ADED7DDDE27F554C39FEC0CE2 -FDD9CC3DC68FC10CD2EB789B7FE182FB -3731394644353146 -2 -36433343383646314631423446453044 -00383241354433434543424331434244 -4645433746304344 -0 -2F68B81AA80148BBBC93990FD74D4768 -6695A0CEC44B85F097D42515CD7F1E7D -3732374639363038 -1 -B0F089C4224BC0A465C8AC4591083D3C -8B388E72220ED335C63D008E3D9B0854 -3436374532433139 -2 -44464444434436413631313836394631 -00394237323537364246344442363635 -3646314346334535 -0 -B8D0BEA553A89E6A93A5A08983D95BDB -AC54CD8FA5B278946C962671F2C84ADC -3936373533443041 -1 -FF239C6BEAEF0CC707115484B49A5DE3 -D6012D4BEF7B1F738C2801D536379B48 -3445344646393134 -2 -45453134424438454444324645373644 -00463534323134374638364531373344 -4536313337304532 -0 -4F4182CF5F695FD673E4DD46D69A2B5C -013AE317BD15D9A283C63401D577BB0B -3246314443394239 -1 -0F14A6EFA2FF4F39BF8B6D7CB9D1A964 -A01453094E9577972253CDB0B2581527 -3542384141424536 -2 -39313730303233313845443643433834 -00364243444446323837433332423943 -4630343739463241 -0 -EB28B9D6ECC6A3D8D064530C61740398 -E5BA44757C51FE0787CF0C4FB98E3D99 -3942443944304135 -1 -1798B8C7D348C6251F39F6060250EC1A -7C348B859B5F63CF5080C8A732827D60 -4330453034453844 -2 -32433932354234323735333742383330 -00433131303535433533433931353636 -4243333041393936 -0 -8F9CA69F37BC8CC07CD55BAE4FD01D0B -80B576F57C81FB12BB3D700089A7ADD0 -3537364132414341 -1 -0BB1957EA3D7341F3571EC5390F8EB01 -883BEA683227EE2891B41093863D8633 -3044443832394437 -2 -33324244354437303233453842454431 -00354344353830353544453836424635 -4131394643313132 -0 -445589BFBA4D2A4F3C02F44667D19422 -ACD53B4EF0574C56F3D993E77B9DCA15 -3333323930393932 -1 -60FE0E0EC1A3369CF3685AE212AC215E -43FB50EFFA5CC33F7094F5B9D8DAD15F -3832314541424645 -2 -31423642454342334130353844464643 -00443531454441374643354137343834 -3630443232413846 -0 -7683037057CDC7ED414F26B957329775 -C5D44806E15BB605770A0A2750DA3F3A -3436423233363544 -1 -D07882F5B177847414B51E04C7514C77 -1CD605AA917FCFE684F24BD27ED9CDDD -3030414135414645 -2 -42423542364635433943343845343830 -00413738463246463241393734393534 -3036383737303039 -0 -0C0A884BB3955199C93972ADA1106321 -852039155CE53E4E4137B8A43CA8BD32 -4438353337413030 -1 -C37346D8B363DA1D46B151F8B733125B -2A5C97975BDEE15E900AAA908569B7B1 -3131304132353034 -2 -45324438373435373841433939424339 -00343534314546323046433235443637 -3636344445443230 -0 -8410518B504E4C434DCF0EFDBA21B5E0 -9FCA213AF9969C3097DD689A0F0EDBD2 -4433463438344330 -1 -08AF56FE41C0F9BF362420864351F698 -9DA1BF19EEF37B9B5F147721F247E4CC -3936434343444242 -2 -32374638344132324138354242443534 -00303633313238413934363532313042 -4246324145444235 -0 -A7B8DAEE56D3C575B0347EAE13A4CCAA -6FD548DA660AC01279BCA3A6A9056F58 -3543393936434231 -1 -5D0938B24CD7D758ED2C6308C165E0ED -DCED8507B80BC0D82BC0C8E0DE870F1F -3134454636323034 -2 -39443143344430414441414444394534 -00304130303246313744304446303245 -3532344436424231 -0 -4298C1AC36C4EDD573F983BADC58F6B3 -70CA53603C1CF91D10F1D1CACD21FE05 -4145414642413938 -1 -18A7D57444C4DBE1B5E55365AD7845D2 -77F7CF8A5957190BC683B722CE4900DB -3845313431373732 -2 -43463638333441384544394539413733 -00413331304331384132444239344437 -3330303038383235 -0 -7A6879A0508D2DEBF57D59B8889F6765 -3C27907645F6486E65C088A6E537C63E -4430423034363832 -1 -03CF04CC777A4F8EB7B984A626AB012D -ABF2DB9AEBB700B72B773DF638421079 -3044374435383631 -2 -32363045454646383442383733334238 -00354230333842343532314241374331 -4532333237443337 -0 -32AFADB1D86991FF3F4348CC9669C102 -DB564EBC7A72730B72DC3025DFB5EFBA -4430334346323433 -1 -40A4CF0C05B23EA1A24201E640A799FF -5A532C2105749AECC1644A736B2B56AE -4534394541323443 -2 -32324542414442414131353931383735 -00443642463033443443434545304144 -4244384639313031 -0 -FC5697B0AD59C817E7F72CE39B789D86 -C0EE36FB53C80474A0D323BD336B2305 -3134374232323543 -1 -47F5E318E224B4429ED7C09DC174D599 -9DDC99CA0A2B520BD4444B85CA3F7B65 -4142454338393438 -2 -44383438463241384235353435423731 -00394244393036334334463444334434 -3239384437344236 -0 -E4B1A2442B7818B7B39AF4E6F8C85F11 -9EA522055DBEEB723E36B08294F6C4CB -4445334331443443 -1 -29B607125ECCB240AAC0A6DC2779A115 -1423DE8D2517EBD6AB490137717A7003 -4638453431373930 -2 -30353133444531454339334539303032 -00443835323043313842363932464139 -4134313836383138 -0 -1EFE791FC331C5F7AC6234EA918BD95A -023BEE30F0BECE5E19015F81BB451F2F -4541334338344134 -1 -1F148A222E0F8B8B8255A03D1726E522 -AD5F26737C614291FFDD5C61946051C9 -3237324641423833 -2 -33384534303343303234443646344332 -00463743344237363239354334444635 -4231303631463537 -0 -950357198EEB44D0CA194CEEDE940092 -A15C7557CD6CF3087C3CD4E729E86926 -4535454638414642 -1 -B58F9AF84EF6073F5B364CF81C674F41 -BF33A4D71E8342BF14B19EC43EB75642 -4244304242303942 -2 -43413331313646313745363739443232 -00314634304237423837363438464637 -3741323530394531 -0 -5A3D21554FA13912ECEE1C8BD8A5DC4B -2D72364098D701119BD2516A4136D753 -4434444541433032 -1 -4B261DD59BFD0D98267813E12463F1AE -5373522DB30B38718FC1A28E5C107916 -3844314239383245 -2 -44373441383946463136374131444341 -00373738394235313837433246463033 -3543413336324632 -0 -9FCE8A2F277A29A0896F7C26479746D8 -207CB054E73E1A6162532ED2672A1445 -3735414645414546 -1 -05D30B1C20ACA963DC207FC8E5DA71E9 -7E522063644126A531CEF26EFEC9EC4D -4541423130373846 -2 -45303039433837364135383434344345 -00324532414642383936394144313943 -3345303536313931 -0 -216018BB041237CE8D07C67EB20103E5 -814C42F02C9979650B8DD9CDA86A47C8 -3836443446344139 -1 -B126928468129EE5B98CCB4E3FA989D4 -CA371EA5F9CFF503891991F0E89F9EC5 -3144363837334137 -2 -32464644373245384135383042463745 -00373746363637373345304131413238 -4430354645373342 -0 -2BC07B08E43773F4AAC5CA922DEE30D7 -38C4CD5776D2FA33E6745DCB223C4E43 -3033323735314646 -1 -BBA610BC7D418B09E8E8E0D7A81BD703 -32EA85DDBC3588C1B29DDA003918DEB6 -4334423342433739 -2 -35304234354332423335354543383538 -00363339423733374245413641313030 -4339413032334237 -0 -23812CD0001EA82FBC0654105187B8DA -C153A5E8F0729ED43B4E7E7CD312B479 -3943384539413943 -1 -0B11B4371FDFA4E815925958F0D6E2D9 -963CE7739299AF483CE68D51D933C748 -4646393645433343 -2 -34363532354430394438424436414433 -00463832304446304438364235393833 -4133324139413437 -0 -6459B944F34C98034B8CB31FC37233DC -B4A66E59D55119724C4E8CA70255113F -4246453043453939 -1 -8217A762FED7FB794727EC7ABFF227CC -B12E8E0F94066BF15906122A468C5AB6 -3936383842384133 -2 -43424631423830314335423641303935 -00343541393435334244423635363942 -4130373433313231 -0 -938E383EA6171591C504BB3C07B466F6 -172EE52050B6A0ECD204B8BE2337FDB7 -3637333246343343 -1 -080EC514B4CB1EACAD94128ABEFAFBC5 -4035D1091E10E9FF6EE094E59BECA3B9 -4631464543374242 -2 -45333443314644443732424635343641 -00383445383339373438353030314342 -4331443436434633 -0 -1AE5C92E721BFE51F00D3D5407FA4115 -65AF4C94FF4A693E47EC5A4CA9F155CC -3035423134394543 -1 -5159DAA3BBA2D80142E8B26BD6DD43C2 -049152C6A7DBB723036126DA68AAD3CC -3633363435304533 -2 -30453838353938443934303738394330 -00304333453438343745384446373034 -4446353231414435 -0 -EA3A31442F1F6B602F8D690786622C46 -A5ED51EF520E0998CE713A9AD1B97B76 -3642334234423845 -1 -E4EE55D19F655CB6C02A30325804AA27 -0783DAB767DB3E2D33264579DFD7AFD4 -4634373136304243 -2 -43373433463136414241354344454434 -00413441313339303731324431443936 -3837464545374642 -0 -BB354A062AAD4AEEE78FA4B059266EE8 -5557FA7EB160FF575EF5B0064ED727F0 -3830433731323244 -1 -D94EA3D78F504112A1B53537EE7D6530 -45013CAA25392BFB43DE257660012DC9 -3233453741434346 -2 -33344232453444443535343237463044 -00313837433242453539364635324631 -3430393336453434 -0 -642AF35276C8B35BCC922892C7CB77FB -4018700C03F5CFB1630657286D86F4EA -3932443736413442 -1 -101A30C99EEC753090A38EAA2031B6B8 -214725FB3E02B905186548AE441F35B6 -4331393346443434 -2 -44314642343042463133423630383745 -00313843354344314536344434383132 -4233444446303737 -0 -7E499EE2874F80CCEC4480E5A35B0F48 -DF9A1A585720D4CDD816999F7C05AD65 -3636434137373938 -1 -3504C3AD446BC352E98CC2FDDF2A615D -CBB4209BA3882B2ACBB1CFBBDC2F4F9D -3843343533433135 -2 -42343936374145323543433437464145 -00424441454233363737424134433034 -4343363130384438 -0 -A94F1BD0DD9A75EC12B25B3D4634ADCA -742E425DFC13FC0169DA403CA17B446D -3137463831454137 -1 -D3CF6E6A26113F16367F5A1C23E8B60F -8ABCE3C3B1DD36855C4DAA9FB29AC1ED -3334433841433535 -2 -38444443433030453537313838304444 -00353733323046353542454637333443 -4638323338463336 -0 -564D7F82C203C675E4FAA97924BB2D6E -351686F1BFE38BE1A781E623F1FBDD0C -3134354434354241 -1 -239D0AABD7AD10C3D3EB69C91551A7E5 -289CFFF87BB2AB566542489965384818 -3434343133364245 -2 -31353832454136333832414430303632 -00454337354536393241413530353434 -4443363242303433 -0 -E27B900A0C44DFBD2488D734C45F4B49 -E59C137DFE83F0C59767FA57894F24F6 -3045384539453131 -1 -C0540FC3C5E6DEDEBC0037299242A993 -C5F6412EAC6B766586DA30BCF21ACFF9 -4245323633344241 -2 -36394642343134333341343530373233 -00313631323533443335343739463136 -4138393130384146 -0 -6CCC6079BBC611CEF6ABBF363D9E2398 -1086528223935F60060DA8BC1756ADAE -3532454133324436 -1 -C0DBEFABEFB10C2D6CAB8E2AAC6C4538 -D5559296FF362524C5DCC52F6C686233 -3737383035383641 -2 -34434436384539303632363337373734 -00383936443531344339343231424335 -4430463646334341 -0 -63811FAB9BFE7CBA6BD54E862223F2B6 -5B0FD10D2F4CAFE3E5D17A7014F6BBB6 -3241334238444245 -1 -571FC6846B6C78C2E9FF7C8450F391DA -46FE47CCF08134096FEB6BC3FAAC928F -3935363244314330 -2 -36373043444531363842463841383244 -00394139304538393346433030383133 -3142384333333133 -0 -29BE8FCFE814DBC7CEFCC3D7783DCBFB -D42FA353121055E865FBFAADAA58AE4C -4331394130413038 -1 -FB09A4E5678A971F3BA7E335246952D0 -F9FB10A2EB715D6BB511D0220E676F7D -4544463630433530 -2 -43303638334138354345324430454330 -00323543303243463042353037423045 -3738463445343536 -0 -E0C39E7D543974ED9E87AB36B45B3D81 -86073680FC986E38B5B513AE4B1106B2 -4434363045453542 -1 -62F91AD555232D6063561D54C0D9FEAF -1C7FB30C163FDAEE9E2D29B5C59D1F25 -3332373936343742 -2 -38324344324332313237443535344236 -00363443324536363044463632363234 -4346364632464232 -0 -C4B2D28B631A0099C99D76C8A67F80FF -D56AD3D220B0C6E9F0D77D001109F0B4 -3946324242354344 -1 -D58732169D0CE21D0C70DD76F33D99CC -91BB9CD57D5B9159C5F0E17A458DD234 -3145304642384533 -2 -37353242414332443237333037384636 -00363536453035464535454144434439 -4438383838374239 -0 -EC4B2AFB394553E33299CBC2B403FBE9 -3F61585C9739C96D55A414C09F6CF6D8 -3038354134373736 -1 -2448A953A2F8F817F84FC96558B12F06 -99642011D51CED39A58049DD12357634 -4239424445374332 -2 -34393341334439433235423734303843 -00344346354634303846443636413839 -3138353745463838 -0 -F0C73160C7EF1CED8FA8CEA651EFE658 -B4CA95FC15DFB7DA449E718E26B85209 -3944334342443844 -1 -EF0FE0B2487AD5FE821AD3ABF44637D3 -76E72E54BF46381295B0CFB0C933E0EE -4143354439383042 -2 -38443939414442353037423943304344 -00324336393434333139304132313531 -4131324330394338 -0 -41D3E964403C5C96941D9DEA336648B7 -3C1EA04A1FFEC5130ED594D877DDE6F0 -4546393843344443 -1 -AC3347FB5F9D271BA61760556347633F -F8A4806618C10567E36AAD7EB8EA0010 -3435464337344535 -2 -46333736313233374334413135354143 -00393133353142393641354445343245 -3033353637313433 -0 -D4E47F59F28C23692FDB279209B36C46 -D4F9C8FC917B4FBEDFF8BEFE23E8A167 -3130383233423946 -1 -7A5ECD8140A461CB9DAF7D80FC58AA99 -F9880B35A7DB59B1CF72575439C0E9C3 -3837333231354242 -2 -35393533413836443732464136453531 -00454331333346424233444338393738 -4346463438433241 -0 -9934686A53FF69B8829FE20861EDE48D -4226A490FCB04F15EC1B1D689C8E95C5 -3843343234423042 -1 -3E1512483E2FD8EB3C4CB52F94FE90C9 -DD9472CFD505F00FD2989470FD2B42D2 -3930393642443539 -2 -35373745393332383935463934423233 -00363337304543394635464133353432 -3337394244424433 -0 -091C3A590C927CA8B1A1F827236A4EED -62BB1755D2F45C301568E2CEDD75414D -3931443234464145 -1 -B9B4121461264572A592BA874748FE72 -C11F5C3951DD94B7D42AF4ADBD347100 -3446353939314635 -2 -43344134333431394538363336464333 -00413644354539394445333646324239 -4333463638383046 -0 -FD5BEDA5BD7BD7C1A94A6987C418A864 -A1CD22BEB2848E3284A04CEADA949B3D -3838454243463441 -1 -FAF51E04722B2A0314C21228835AAB6E -D5C8C23EB9445A63684FA17926707F90 -3445354538363432 -2 -30443036353037453545373536393943 -00353739463831343637324644363143 -4445394335423841 -0 -430C72F3DF1B883883E506067A437C63 -AA42078E60CFE1C75AB0EEB54F3D0CF2 -3041343541423330 -1 -FD9C23419EE2097FA9367CFD5E38DE68 -8FDDAF26C2002AA33BB5AA592CD0861A -4434323132453542 -2 -30374232413039423446354134334444 -00343641363544333930344245393634 -4642454641374445 -0 -15D91C3E44641EF4139924D24088A28E -DEEE4BA8BE716D5EC6F26E58C1DD0242 -4445453745383233 -1 -F46B8FCD9E3BF846A604C3A306E1081F -441862FEC7DD40FDD88EC42D1DC78EC8 -4646434141353836 -2 -44454431453234454336364541464643 -00413238313338313234424341343331 -4330393945433136 -0 -9EB920E24E72C89EA60385F852BD1528 -F0E3BA6B3E5FBE9B5EBCF87DB2DF823B -3345333131374644 -1 -8AAF7728D23EA3CD16BF7E1FB182558D -BC75F2D233186E2CE08F9D7BD5782A87 -3141343635394641 -2 -30444539424433463845324638323743 -00424233364146383434453944443333 -3231334343393441 -0 -0AC16E781A328BB770B7319EBFA8EE89 -750DFA40EBB7B8918DC7ED9325708D30 -3346444544304535 -1 -C32352A04B0866EF78FCAEB6BFD24865 -E001F9F76567580EEE5664D4EB579445 -3242363437394438 -2 -42463736394636334137463646423532 -00423143433843453332334143303245 -3845333334353935 -0 -77F4632096A75B30474D603C38C6A12F -E0E89887028C8387E8B142B9A4B73139 -4345333544393937 -1 -CF7D3B06BA0FE5F3ADB3CD87F180F4CC -CBD7F70AAFAFCD1754DEC135CBD2FF24 -3737434241454435 -2 -42334437323437343139324433443035 -00443145434141333136464234433031 -3638443434353236 -0 -A96DB0CC47BDB053BCCEA9483D43AC3C -9CB640901F26145E64AA3ACDA57ACBAF -4231454535363236 -1 -6AFE71FC61BE44A016AA10F5F3F8B9B0 -158BCB5C2DC0D84D823DEED7D83D9E94 -3033363236443839 -2 -33393938433432453836343841443831 -00343436463439303746324444413732 -3046314339323639 -0 -C6CD5BDD1F07EA18E131946910D21F76 -1471400B38CD72E576FD0CADC3C8517D -3131414535434345 -1 -B4739397F970ECA468E768C5F5F8FC89 -2CB1E0CA13CF98D60DCC666EA3120F11 -3736363534344644 -2 -46373637393044363635423444393943 -00363834443845354635413339393039 -4442413238323639 -0 -F0F2C9AB14735763D5AD9D592F9C360A -232AA1A4568253D697EC8447EC2376A6 -4132343333314139 -1 -B057265EE2BEAE4514352EE9F597C588 -864E2130557BEFD724DE2C8EB6DBA839 -4238384146383738 -2 -36444436353035423036303631413735 -00463035364241313432423342324233 -4541434538314633 -0 -73807DE158B2331EBE930C190B8AC9B6 -400B0D15732AB6B2AE10708D5F8A38C0 -4537353338424538 -1 -087A7BF0B3748DA1A9D88BDB3C22CBCC -B3674E33282F37A23998FB3AE4320CAC -3138413945324536 -2 -44344333314433423336424334343741 -00363330394438423633343435324138 -4346304435414445 -0 -CD4917BE9C91691AAE5E29503C6B9DA3 -D6F0414FD70C49BD24FD687256477B1C -3937464234323637 -1 -679640DE84E664E67C3CA63CCFF3AE4A -C10B7E9AC57C38825C9F96BFAC0D9312 -4234353046434437 -2 -38453241353130393345444644393646 -00324533434543373231373245343946 -4136454534323542 -0 -2AAD70BC78B11A18F51597F59D1D5AB0 -DC35513F85C831980AA968D71B914CDB -4632423533424536 -1 -82CE56666884A3F40CF20A008B837223 -3B9E4C7486FDD7BA367A12853E2C307D -3538363935433846 -2 -37444344323443313837373534433741 -00334235444435323642424237344141 -3437303344383939 -0 -6C92FAC576517C035B4644135CA6069F -3C62CD2B674B60FA29C822DAF68894C3 -3441343645303836 -1 -F50571E19A9F244277C8288E13581FB1 -AB66DCF614DF3926F9ACB037B9F91DDA -4430313832384137 -2 -41464441443439393237324439313642 -00444439423246383230303438414343 -3446433738464135 -0 -7E9B3D99457E7E1A51F9EE36ACE8EC5F -9A1DB19111C1F7329C637645B1BD1A3F -3634373439304442 -1 -A138F2A80C4717169A36579C79468B11 -F79C5474F4CBC5820965C714A7D00968 -3643373741334146 -2 -38424443324146383142444642413236 -00374335444643334234413637343535 -4342393843464335 -0 -DCD0DC193ADB51DBC6044C10E5E39336 -2D2B96BA2DE4A9078B30BE09374B0A71 -4342364535363637 -1 -AC799A825D01D082448710CF94917E56 -CB6D745D2BA4BABB2DE6F5CF27D50402 -3133364642394231 -2 -46373535394642443037394430464343 -00304343443935454342443734383844 -3430374244463133 -0 -3D415F7DCE3DBCF2E8D280F52476F7DB -A8AF43D83439DCC7FDA41D5B265627F4 -4132373432323132 -1 -6211E5A16AB5E71D58CF1D0BFA119F3D -6ED350A0171B7AF02810840CA7B6BD9D -4444323739374345 -2 -35434443414644353141313635353945 -00324442354234323836413144364644 -3534313437363639 -0 -E9C51DFB03C6E6F152D720BC47AD56FE -33A589656128C16A72CFF2ACA989570A -3531464630443531 -1 -B790CD47BB1B49D0A9F32DB465739AB6 -C49E19374EEF02F03AFDAAE92D5B172A -3735303336424141 -2 -45383044363638333846434334383845 -00323739343443424144453038394245 -3432453735413937 -0 -1351F638E20AACADC2A50E0C17F08EE7 -9ABC7BCB0F99867CBE2AB299A927E391 -4438313345393637 -1 -5C17312E131DA5A07B0CAF43328FFAB4 -7AFBC47D52B99699FF2D74E48AC6EECC -4541453836393838 -2 -44374442353642313541353031413932 -00464634423635393133313743414645 -3038343137383737 -0 -C3EFDBD2B88EEDB276580ECEEB763505 -34682A1D21F0E2945B27942F6DD54D90 -3644444233384339 -1 -C08690E5966B9DABDE80980E43C39C13 -E2B8D2DC0CFD13BC934EAC07E4D187FC -4331343734414632 -2 -35354238334137373935413242453833 -00454533393634353738434332424542 -4234413432303046 -0 -44CAA0A14B43E03D1AE36A8D98D4B601 -F6037F7F26B0A643F3026E95B56D3A76 -3545433838334631 -1 -3592AA7CB26F4548F0B05117811F1C4C -A56342E5630CCCEBBAF5E9C8884CDF11 -3238424134324134 -2 -34363343424244414331373539413039 -00313443393833423045353430463839 -3244363345394642 -0 -575036D4F0D3645B23607748B573BE3A -ECAEDBBD786C65FD6B6CC506C0AFB4B2 -3130324342463738 -1 -6FB24A9C42BF66EFBCD3EFA699BAA85E -1EFBC1B682F6F15981C6ED3066474E5D -3942373139423144 -2 -43323333383633424539413244364642 -00383646333343444533453746303443 -4142304533303637 -0 -ABE4D7993817AA26AEC95B547DAC8634 -F35A563D3883EDCC3BCC87D09F708C11 -4530353636383235 -1 -6B57D3DF748022B5110B8748DE3EBE53 -B2FF73843B37EC1E1C282C49ED0ABF1E -4443363032314436 -2 -33323435463531393545393630303445 -00304346313237454544463145433744 -3045304544424442 -0 -B501EB57B44BC3F0E6961D07DBE514C2 -87F76C7C2806D6F13E533D078496F961 -4531454536463742 -1 -82D1C76B3BFFE13CCF008FEF528BBAA8 -7F511D778EB52B217C272DC3D6656EF0 -3842323931453741 -2 -35343736303345344635303435303130 -00433642343030444233364331443642 -4541373238413244 -0 -AC54DBBACE47E90E8F6EEC729ABB9641 -9DD050CD51A71AD796EA76F37B03AE02 -4239444243423043 -1 -83533385E8654823E6362BE1F8016AF2 -5003AEDC7A7B3EAFE2C6CB9623A438C9 -4641394642453333 -2 -46304332363838333243334341363433 -00413430394533383943383442423842 -3837464535343534 -0 -7272C217BD62D64563F013DE3993840C -BA71245C408DD62742BA3EE7CC4805B1 -3444444135354438 -1 -1CCDB3A9911A253D151C5DB2245EF6BE -C179D6475EC7F66C23071B482C5F4F4F -3942303034463939 -2 -44333232313132434431393237423039 -00424644353631453231453631384638 -3935364138343436 -0 -986F7426CAE8DE535889D2C777D1886E -55884F9103D5FC5A9F84B687ACFCFF42 -3234463335314632 -1 -C790377BD638C2ED17BF1A1843DCE060 -E86E58C26D468CC86483F99568036D31 -3132393437443442 -2 -43433835424134353646444137344632 -00424137343837354230393244454544 -3034463536353944 -0 -235785564E0F4CE7E0974449B153A865 -BE8CC845F49531265E1BAB24AF0C3324 -3033364244413133 -1 -EE405F7D029E10DE2C40C0A3E53EE565 -59F4CF9B74537EE37308E320C77938C3 -4443364639393545 -2 -34333130354541384146373434414335 -00423545413433373139364133433835 -4131323044334336 -0 -629F95B4F7E9EEC068C9A69F21AAE12B -77C5C67DF426EB3B756EA68DE82F76FD -3843354542463635 -1 -E3BFC4157294B083750D73B2F4ADB095 -FBABBDFB8D5371CD10B68A1E5E58F59D -3744424633334242 -2 -36363338344446433934444645374335 -00433531363941443735434139373533 -3536433237424346 -0 -72A921A81C881C1ACBFF6B496DFB8FE8 -3C033EDA805D2E32C7499FD4B9BA8EB6 -3934464431453941 -1 -354E6FEC0DFEA901ABA1E824DE54086F -5E525620625AE7CD34387F16DF1E0BF8 -3245373035434234 -2 -41433730413846454338364235344331 -00333938464445314335313132433533 -3837434544393543 -0 -874BDAC835D6225DDF2DA901E3E2045B -3BC33063289D662ADDA81542E865294A -3046454138443834 -1 -91CDD73F238D124447C538691E09FEE0 -651A9D36D3E56B4C8030DF38C02C7972 -3834334246463837 -2 -37384443333542334541363146304237 -00394632323441413844353744444542 -4536303730423443 -0 -CE4A8D271F8C0DF2822ABAC98E622971 -A9A6B988FAD3FCA42F6A23BD88C49F66 -3145353433303832 -1 -9DB106FAFA045CC08F67AF5F8AB57943 -3CA8DF7C63EBA7A9A75586075542C190 -3344423243434542 -2 -43463537464136344445303531433031 -00323842383243423037444334433731 -3231433635394639 -0 -A949607D2B45B8849A3CD8DBF04D3BCD -EF9F51D0D70C6A9C9F99A3EAFC5A354C -3041314634383331 -1 -59EF0875FA96B1A5D156FBC360D9E1B8 -A089F45A9F7ED04D792C318E04783D09 -3435413443323831 -2 -34444646413333433534393735394442 -00313144323030363641413243323434 -3634364630383238 -0 -BD3EDADE7F52FBF3CDE2A04AEA46455C -2CE44977CABB9E63F6133E7134DCB70C -4444363731393336 -1 -C7288657730958DB552270E7640653B5 -90E9C45E6A3A9422D5743C37C1B76CFC -4338323232304333 -2 -43333335314333393037343336453244 -00343341334641463744314144454438 -3442463931393846 -0 -A3BAC7420FF48BDE68A3D4BE64A905FF -BB4C0772EADABDD74FE9D009B3F6F329 -4134424330453530 -1 -E4D781B4E812848241A74A478BA2558A -C9907616E2AFFF2D29E60C999D35A41E -4345363433463843 -2 -41433733413537463137394633454144 -00423041454234424141464439373939 -4246454335383942 -0 -EC6C87A800C6C561C9917B07E8E8DB40 -D838A6FC81A2357651E0254C58AA1416 -4542453831363832 -1 -45530031B9158CA44F1F984D539ACE4C -1C2B22B2ADB859BCC4C6215E7F8BF74A -4643444638423745 -2 -34443338423833333338424337463433 -00423437394634384332373444453330 -4639463533443942 -0 -8370346F3EA1874E6B7D365ED8A2C439 -FDF5BAD4FE56D91C0F001026CDCE46CF -3636373145423531 -1 -B1875C6165A1C13F7D88BABF9C8618D0 -C2607C4019A938CCDA73B543D5B8299A -3731363633343730 -2 -41383436354237303443333436314231 -00393533303945374234444538354532 -4337443131443144 -0 -8F61BF9E979D6880E486EF6608B523D5 -99875C9443D868754F9D11D6C9998E0D -3437434132334136 -1 -67FFDF1C0EAE12B5F116DECAFE23626A -D40D9266D64343D88168F3BDA715BA26 -3143414632313430 -2 -38394541414135424643303934393339 -00353635393839413533413734453742 -3532353033384433 -0 -60CD9BCA489E5F34E96AFE4E479FA683 -DADEB7443A6FD8A917EFA34B0A701B31 -3745423138314338 -1 -9B550E06EBAE0EDF556039CAE9519BFB -AE1A6A13EA342EC18FB75A4B033CC33E -4541313445433530 -2 -43423338384544364532383131393239 -00303531324531313833353746413737 -3135323531413543 -0 -446CE9EC18A3C3A4C1B985A17089B5D2 -F33002FB35D3823336337A459A920C31 -3945353143323741 -1 -4C3DC8750E9751A743F9B46587D27A1F -13F538D0B58F5EC54135EEFF73E7F069 -4646423844424531 -2 -31344645383638393642423633333641 -00303332303737463733383445363539 -3544434144343946 -0 -83423B61FDB16676946FE9F170FFCFA7 -28BC6964684C92BB0505CC74004E3F53 -3143443932353339 -1 -922D737E8249398ED0E5DA101BF4457D -8702338825899AD92C0C5DC8D83C0E6C -4531344637313741 -2 -38324442423935303238344144393034 -00383334383535363639354441433837 -4641334144463634 -0 -6112399A8199C3D4955C23EB4855ACC1 -9EFF541506908BA6D0895511B68FFEB2 -3332414643304645 -1 -1256576BE4249FDE07A66AFFCB654376 -6E4508FC961438807F10F8CCBC21E090 -3232384641324538 -2 -32463234333336313335383246313342 -00304637463939314331303633454530 -3936333545454131 -0 -770D03F7D8850E18E0686D7D4410CA54 -70CC0EC43C255E387E4C5C5F9E4E2504 -4237434541334645 -1 -6980BFF487C6437122AA625376CD5116 -976E3D494052E483977D32A347D9E472 -3234434138453546 -2 -33393235303432313135443842453235 -00443243373631394144343242393145 -4441343738354543 -0 -1DE3C4BAD162EA5C78D0685D90FBC2E0 -373E057EFFC8A9590AFC2718BA09BFB2 -4141374245414346 -1 -BD0713B6EFE3D3BBDB6FFE49D6E35161 -EE623FC555E37F2A3197917314816294 -4233343344333643 -2 -37364132313943434433333336333443 -00343736363330313634343337413030 -4242384331313535 -0 -D9F91573EFB00C30FF4E1035027001D4 -ABE132E7DDCA6A831232B524319D314B -3642323734453432 -1 -516716751D74453F26EF4FA6363F6D5E -6370B291FAC5462C49A733C5C0157025 -3733423244453430 -2 -38444132363634334532343645383645 -00384530384334304646324445364430 -4432353543393834 -0 -467853B449B8622E6D7ED63CD09F4B0A -9CC08A2E33134C9D22CCAAC91BF54E79 -3735464442343039 -1 -C535E30D7C6FAF1C3547D0EC7F929A94 -E0D2C63837B6B7235FEE9DA5073BEE44 -4441444130304645 -2 -32314630393034463939374239374133 -00393538323030464145414145393844 -3434314641363741 -0 -B7E22255C52F561405C884026E971576 -CDE5F55A641303D88B6EF9D56F74EFF2 -4145423345463237 -1 -3E2729E5E9E34D9CD63725D35D075F5B -0DC966E2C6E2410BBC93B3E07B816396 -3445383442454144 -2 -32453531343837373431374237443642 -00434130373636423445463044414446 -4539414537414238 -0 -DED12C096F2DA011A8BDF740D96582D0 -FFFFFBDD54133C092670C5B480BBBFA1 -3637464130363134 -1 -9ED2B6B658B385BFB6EBE142E7D83DBF -009C8ADDBFCC31651933D017D9846645 -3837384445324441 -2 -42453336323134334435364145334545 -00433133433844343035314538463830 -3546364331433642 -0 -7F76D17D3E0B7775FA49646F369D9EE8 -AA60AAD824785B4551214DA256660E92 -3534343735394132 -1 -F5D8B9F054BD8912ECC9C69F2FC20BC5 -803A14BF54720EB89888BF4E577E8F2B -4544433138374433 -2 -42363543423236383245363037354243 -00303845443845423542444432413046 -3444384239463634 -0 -3C8D12A42DF78686DDAA6A668BEF93D7 -CE7BE7A5B29FC00F618C53A71BC3BFD5 -4641314144373246 -1 -81C8E7A259D3C6F0E0EC8A5902046181 -FF37474437C75547C9B1E4CFDD4E831A -4632344444444231 -2 -38394130453446433231423636314144 -00453246343536343741313537443638 -3844373533434439 -0 -EA1FB3022D4C25DB263FDE9AC8224E74 -79241A49FED0C1061B334FB0C32FDC37 -4543384330373832 -1 -0A6024EBC8CBCB1BE9F70388A6CB79D7 -5B9BAAA1F6D1D996CF9317594AD3491D -4130414534364433 -2 -46423333393343343241343930314236 -00464530373543313637304144444431 -4339423846323236 -0 -A22509600CCA867929BD2191A7E79ECB -B5173267D1603CD8E1B63C70233762E0 -4130363333333835 -1 -236C6FDFE9A1E3BE4F1B73991C5D5EB7 -1EE8EC2B4FA93F15A6936E0F1774348C -3133444644313432 -2 -34384243393546394330423836453545 -00373132433532443830433531313735 -3037424639383141 -0 -B6873FD20792AB6196014BB2E7E39A3B -BE22BF8E8758020285F37ACD35ADCF7B -4331383536374532 -1 -44F8CE2E4873BDA706B388FA2EE22EB9 -D18E89E6099BD4C55FC0CBFFABC26A60 -3633334432463334 -2 -42443930334135463932383537363730 -00464339433545323831464230324630 -4137434137373331 -0 -A88779EE14118FB9A2511AE7F11C0B0E -CAFD641F0239688B87782FBEFADA7D53 -4533373244443137 -1 -602D34F3445D67E58CA5D2861810D0EC -00FC8FB4269D3909D75118DB081AB0C5 -3335423439314334 -2 -41374643333332384639333539324545 -00433741353046393541444542393245 -3541443942384231 -0 -371FE7BA6636072A5053404A0FA33267 -1E5D41D73B9866FA4160A6BF7F77FC24 -3535313838333138 -1 -4C3136FD33834D09F6C3D2DD70418BBD -5A7044DE167BBF8064836F03735E2A4C -3635434330314536 -2 -34374446323131323734414545384345 -00353238374145444641394642373544 -4635303638424631 -0 -97B3B430315799B13CE9A32124D9CE4A -17E2C5E856FD26DF76C3A3D7BA550674 -3846394130424337 -1 -7544905F7BC79DFF5E4774A84E3519C6 -822138F502D25D4750ADB2153F4BF401 -4134374136334345 -2 -30353339333939313630413636443130 -00443739303533424141353044314539 -4331313234454539 -0 -0B62AD2062AF0736A7A571AC3D7AEFFB -E79E7B3B9C6A4E2ADB5B83102FBE6CAB -4130384439314646 -1 -C96F4F6336CBD9549A323525E0041E45 -05F4670B0FAA4258918F50625B9778DF -3438344230434145 -2 -30324438434441463946443037374237 -00433544373741423045373042314530 -3031433345303942 -0 -B7CC40DF275A7015515653F2450F917D -EF202C1D52E5B5520B666D0611A640B0 -3344363041304633 -1 -CC098D0C01424B8DD2F424B0C7CC485B -611C4E416F959CB0C80EF7909C6915DC -3235463534444535 -2 -34313943303838384432383845303445 -00354130414238433038323435304138 -3835344141313330 -0 -730D7F2CFF1D8373D8C5DF336525E81A -CB0E9D5ADAD4925C4FC128696E651B48 -4536434645353743 -1 -490F60FA6A723F7D37E3257E17529E97 -64BD9B6A430C2B2EFF1982D7282C6B3C -3033313042353841 -2 -34453441333235384233303530344630 -00384538414434413035414241323636 -3036364143434232 -0 -7B3D3F103891326503A5DD32F6E88AA0 -4D02CA37ED29D2182C053D8373121746 -3042413445464339 -1 -A1C1003ACAAAE7FE5DC8088B1C66ACA2 -277D898E04964EFB26C5B766BE993467 -3837323934363343 -2 -35434144314138313932443436383730 -00464143423341334143434632464238 -3142304143334135 -0 -ECD39E649076E038EE99946F577E4044 -6F85296F01B25994C4F98DEB1536DCDB -3431353542443734 -1 -70B6D2CAB12FDB5B9D1B9253FF921A2D -D39C4775077F4CFA4A76A770D1033FA4 -3638423338323443 -2 -44384332304136303334364532313037 -00394535424442313536344538384130 -3445393843373334 -0 -416232FAB314F133FCD353A5D05CE833 -848D823416BF1BBEAFAE6929A0FECC7B -3542303535363639 -1 -A4E19D59A28720B6E78B0FC08AB00C9C -A9CD43C8E3EA7285898F8517E1FBA62C -4432444139314535 -2 -34434335304632324431414341313835 -00454537463937434234363436344131 -3738374631343645 -0 -E5BF7E489E775B2FE7CADBDBD396DA30 -BB7885CFCEE01B16A87789CBC4C1BE56 -4238334237354534 -1 -2942B9D0738D46798485D5B15EC1EAF1 -42FBD99C7C4E3201FB47C6E9D0550736 -3842333233393139 -2 -31323745303942354546363445423238 -00353431354634454138304431313639 -4537333442433842 -0 -383AA662F1863F78B563ED3353AB6972 -EDBDCF97F2146733F9C7072892EFBC2B -3031443041383539 -1 -07A2154114569FB7CFA5A12279D25256 -20AB07E8B9129650389DA7D996523C26 -4544423543414644 -2 -45313744343338424341463743373042 -00393233423238394633454244443842 -4139413231423536 -0 -CDEB9BE0677C0D63A030741F86A891F9 -14ADE4FB64E07408EAE0040CF2F8EA11 -4536333341424536 -1 -797D930634A4CCF0D6AB10CF1A27507E -C299498B9E97A54B4E568A74C2318956 -3035393434353331 -2 -35453937324130444541413543464333 -00344144373134373744424233454342 -3735333744344341 -0 -AF58C641A7D3B7802C4A11EC517FF356 -2F43138DD3B69E657AA1349AEE2C8594 -4630463241464638 -1 -9EF996A779514A774AE411FC12438028 -568C2CCBD0908B66B846D2C9AD1F547B -3834353145453631 -2 -35383143373737434230374445313731 -00343841324541413246423145313233 -4443413843313038 -0 -C2AD30B02A358FA599F3DE005DA72D1F -40626E4E16C4D6B2E10A5D586DD327C3 -3136453944363538 -1 -AD4AB9422716AF0161D37A595583770A -9F21BE267FEB76A0CB6C19A3EEA90BCE -3046384542454341 -2 -41413543433831374137464636433535 -00313638354643364535344133303438 -3830394645363138 -0 -EAB019F4BC0AE9A2376625BB8C714A6C -5FCAADCA5FB6512DC7E2131976A3FEBE -4346323937333031 -1 -B0C99B05EC2A89459C4408A3EFF4D74A -8B36B213750E33ACFFBB2D053D6C10FB -3446373035444335 -2 -31453939464335393445453135393242 -00383935443742313632314246453131 -4230423541303232 -0 -6B9924C5706829453A4A9DAAD66EECA4 -3742EA19383FB846877547A79D2D7306 -3244303139364144 -1 -6DFA8FED27B1B4E4C6EAAEB71159E027 -22FDEC7BA75EF3AC432A40FD3C18690B -3036413237464543 -2 -30464430463130353242374245383438 -00314231393331393942423142414437 -4631373538343336 -0 -18A5395EB11B0121FECA973165F5E665 -81C17563A00455B15520B187F0EA27AE -3133323034333636 -1 -F66D908B440FD11857DDE5DC3343F974 -49B250F2D3899B9541C0EE46DB16D0C3 -4532313338364445 -2 -37343641333933343635433538383144 -00443237453343433544304434444238 -3239313630363645 -0 -40A05BFC4C02B02F46C322259A19D9E8 -9BCB4B036754CD3E24CAE3D32DDC17C6 -3233433543464133 -1 -7223669DD89F4D47055CA77C9AF14FBB -3551AC0AA52F97DB552CCAB405EF79F9 -3846413639353934 -2 -33363344393045353434424232453946 -00343635364433454444343632454143 -3437314146453239 -0 -8D5402BD718D9E4E6193B162A5812539 -02A6F88371FD49DDD71898D97408D333 -3333414333393137 -1 -03911CC0E7DC47421DEDD62270018D78 -2888E335BC2C34A063F3CD03A509993B -4145323541304234 -2 -42343938313937413641383039393536 -00433345414630354432413833354337 -3437443138443941 -0 -15C4008743E7D466AA12D32D0F3F1B0A -4D242649BB2968EE7B2F18C6DEECC06E -3744343937423344 -1 -4DB9FFC4EA0482E8969F3630DEE89FB7 -96AAAFAE31B8C3798AF7F98FC12F7DD4 -4344353130393232 -2 -43383345303643453644463744363441 -00303030303037444443454436304632 -3139443732373434 -0 -68DC5EA4925FFC9CD3551FCC6367C41C -D79C4101B99DD640C8DFA0939DAD4E2F -3839413830373636 -1 -77749E8AD1D819CAD456560257B1B058 -19636CB52319D124BA0DC1777A4F1DC8 -3244454545394432 -2 -42373130303245434135314543333546 -00373735343632373331353241333436 -3845413133363646 -0 -06F1939CC13577F8F9E1C05F7F2CE2E8 -3A984BE73289EEEC6C3652800888F5CF -3637313641463234 -1 -33FB535A472B4864A4B516F6758221D0 -B82761C6E9A80CDAC070A16E42E3EEC2 -3031413739423343 -2 -32443446304144384135353842423633 -00303843443636453730353042384338 -3639443345454532 -0 -709AE82C223A6360EE6AB45FB92AD1C3 -088B6FCDF09B5C9C1049DF4130B67D08 -3944443937413232 -1 -02503F9C22A292F7F58E9B29B853780C -A6B05A2B0C570FC3EF2079B0ABFC216B -4444444644424438 -2 -31413338383839443142353130464632 -00393238303541443237444632413745 -4246433131353739 -0 -C0526531C3B81F2A86F35323A2CF4BD0 -9EF88E766E37EFCB52E2A9CB4C9BD9F5 -3435324344434146 -1 -C3B52223019CC5E4AB983641D97D9D3C -6FB860FDA586673711A3FC89EE9CDB67 -4143393942344537 -2 -46344532423234303732463743303032 -00354546464130393641323145313845 -4431334643353944 -0 -E0D274BC7E27D5DCB0239E9E0180C6A2 -72C637720E5051237205F50779EF9204 -4535463938334146 -1 -14D1F07552B6AFA1239D37910F83B8AF -8807AEB711D415EEE4F3506E95A43860 -3438324546373139 -2 -34454245363332354238444646343746 -00383638333737384639364530373730 -3445363335454342 -0 -9C90EA24C6671D69688F4429695A5B01 -36549FB8D0796F854525AB49E1903456 -3646354332373145 -1 -54CDD52B1D527268A684615EE7DE2EC5 -C7B62A347731E90A32E66F2B10184E2C -3544413831383932 -2 -30394335393841443932433141374446 -00313746373841433534343743443930 -3631344438433739 -0 -B4FBA70A032EEAF6F256076851C9297D -5160F0971174E079AB8B907244E1F272 -3346454337383931 -1 -6A5FF529AB252FF717BEA80455FC0BCB -756E3F3238A50F71D7597E6558877CCA -3031414431453532 -2 -42424438443942313744303046343932 -00453246393134393345363443423635 -3041384434374537 -0 -D96630EB41416E030E3668F9E2579BA7 -F23BB3BFCB1C265C17A491598098A968 -3345373037323145 -1 -CA88DDE464FF9E869344B125D7535FD6 -85B77C6A878D4FF0D8C6FE6E939CFC1F -3839344531353739 -2 -43383630373039393045303645443436 -00463537364644453831433937333233 -3246334642443530 -0 -5B952A52A40E590BEDD01D6DA8911544 -1A9EBDAFA2CBC7B2854947A737A5E562 -3132373833303133 -1 -28E6139F9ABE14CE6D952881C92D1383 -71439AD923483EFCF8E05C07A988221F -3132463046334643 -2 -38344631323343333545383538463735 -00313134304137314336324239313730 -3433433635303230 -0 -F2DD4C1EFECF8E53E67FAB683633C2FC -B05780D4A47048D452288D56F3EF6415 -4230354534323139 -1 -AFC3135AD0B6319B96A6A10CDEA10464 -26115C39D0FF093F1FA405162B7DEEBC -3746384634354433 -2 -38434538364443443737334632394544 -00414236384236464145454633433237 -3644304430424245 -0 -360F80262C894D3AA13A5BDAF4D2F991 -080798182C0759F35D64D72F4C7B1C56 -3438464135423743 -1 -6185CCC505D633135B53D034D1FFBF2B -DC622C1F0ED2723BF49B26E4C33BC126 -3331303743313333 -2 -39343039394444444241423344344531 -00454444373239423439323041353339 -4637343142354543 -0 -E23728F4E59E704161DCD92FB437AEE3 -2A3BC5E79F58501D36B2F5662B7D4F6F -3834443131424643 -1 -8E60C87AF54A9DA25D28944046ADF206 -F95760F4B67AE0CA04D480F55E0474AE -3531373345424543 -2 -32384543463541423844363631364137 -00333342453430343537373432363032 -3731414241383833 -0 -83DEFFF329FC9FC35E5671BCC4E40F3D -4C1050971228ED1DC23DF2A845211864 -4238324244433635 -1 -59024FC2E6A91804D12860C5873C9033 -13EAE20C7137AD15996BBDEB81983B9B -3544433734453743 -2 -46413841423433383439444430344234 -00463535393736453433363831443544 -3332314245413135 -0 -EC2CB9AF29B4FA399B00A8599724B7AB -7364CEDFEB577712DD779688282D2128 -3930393434444438 -1 -27E97A774DEAEAFF0EA3F60780B2BACF -CF988BFDBDFAB9C1C6C652AD5B0C4C62 -3441433342373844 -2 -38344235433539384341354446383245 -00373043313533354646384136313735 -3736443137323539 -0 -F3EC02C7319198C4063F19F8CB8C9D96 -30D5BB3986CC5CC52D09FE100AE091E9 -4531464236393332 -1 -8FAF2B6429BE9282109B7F33A268F674 -775197560A9D072F0BFA7ACA8667490B -3141333243334139 -2 -38424633463646383234463834384646 -00433537353039374143393730333042 -3333454332454532 -0 -32C46E3DD55F2FA5D1DAF4F191C5C769 -BB65A5E3E35C54B598428E3FF28F1593 -3344393233384135 -1 -9EC8462314AB233E2FE72B2A9EF6F7BC -63AAAE61AC1DAB0E70C5F0E35C833CE9 -4133464346303434 -2 -39354135383545333537463636393037 -00303943304541413139373139423530 -4238333939443232 -0 -B8BFDEE8BBF476D4EE0BE3D257A6A23C -ADE5BC70A6CE5EC66704FE4927C6E9E8 -4642433730414136 -1 -CA7C081C7ED86E5C5A3201BC0AC47989 -447A4B42CFA64699670016035C9B28BA -4541303037463442 -2 -46374246443537464238363537364530 -00353037414646383946383046444236 -3244333333433134 -0 -A49CD1655F2BAA79DE0A9AFDD09D7CDC -149D5A38C3742E37D8A081C93C7EF186 -3143394631314543 -1 -7DAF581B5464791B2273B231843FF300 -E4515A9AB41DBEE27343E1B8DB4D042D -3531363031363037 -2 -35454143424133344444413136364230 -00334136343943394132394238393230 -4534363235343445 -0 -DC7B749E7A8A6A6CB83642396DD7AFE7 -57890EC8EA2C257DC02A91BC75ACD71B -3030444341313038 -1 -67138BF03CD67947E55BEF99A0C0C442 -A3BC5AA01BD527FE71BE8353F82A2CA1 -4239344345393637 -2 -32424132303230344135393242443541 -00383535383534334638464431363443 -3732353533303835 -0 -CC8BB4109FEBE63BEE5200C800F6062E -D5D2F6C2BAA1F77E3FA6F1F8235D50A7 -4246444231444643 -1 -9FB488EE817866B37CB3124AB14CC5EC -C7699799DB70E27F42B9F4EA193C0B77 -4437453342364634 -2 -37444530443334444335394145363445 -00393043443943413041454230444635 -3037343835414632 -0 -32FE554C6BA350D55DD46A7EBC62C7BC -A2671111752945D689DC62577D677B1F -3535443338323034 -1 -12603C134BFCC73341BC16C901D3F541 -B2C8B0E853F33FE00C512EE7C4508285 -3845303731333737 -2 -44423741413841433835333731354442 -00304236454232364132454236353235 -4546394531463031 -0 -B3BA4141B6F581BF8F6F740A39352C7D -7C64DED97753E9B297E8313149B3A185 -3831333339444632 -1 -14D9C455C2C6B435C89E35F62D0C6DCA -6F0C84AC752D1F9A423CF6BDADF10A4B -4145363135343037 -2 -41384246373846394332423841304531 -00343332433834363741374346383338 -3544303139443934 -0 -DE486298F1BBA6B4CCFA63144328D7BA -7D79BA1759C06D713BD609F0DE5521AF -3636394545383741 -1 -5E1A76694505A8A42D6AF7B6CC4D2BB2 -E3045EB89B7031A6585ED35FABB2AD8F -4134334435394144 -2 -44454341323046333442394638453439 -00344336424435353138323632433346 -4536303831324539 -0 -BEEA208729B5364350C790E5AD42D303 -EB6526E8125931A6D11416109E1C7172 -3546313730304134 -1 -29175AB2747CB830705D177A575277D1 -FEC5DB8D6D71A4A2F9526F90CD551ACD -4631323039303838 -2 -39304132423234374146354536313146 -00384145343743333845333246434144 -3535443632383643 -0 -81F11F8CABF92323E27DAB65EE36BE86 -2BF020311DA898ABD5A454E3357A9E38 -3341444543323536 -1 -C9AD85DA8BDCDACF360BE14FA8826461 -A3FF9C8ADDF3DCA86E067DE5F873BA8F -3137334345413639 -2 -39334644324232413932444535433430 -00453033353346364132323843383245 -3838324330414637 -0 -C8F24C9F194A84C12F9FDC21EB990832 -ED9E4BB4DD2C4C572FE33B1797F2E27E -3641433835453246 -1 -146A75C36D7F998201BC0223E224E3F6 -AC20777B328B95C06AB5F5B5C0AAFF44 -3435304637373830 -2 -31393835393043344244384235364633 -00423034303845344545333535423542 -3844423333363642 -0 -C7C8625077A8666E1195743E0DF8395D -7BADB6E2EE2B5DA13446E29BE9E3D0FC -3831443231413643 -1 -06F449446B17187B5DA06152C1E4E925 -055B702F6C55FE0E00AC3387645E5B00 -3432374138373431 -2 -33333641334645443846413642313839 -00383144454337324545433635313735 -4145444631374639 -0 -0D73585193F523F0AF475018CA360653 -F1CAADE8612C8A9458DB08D3ACE02C51 -3237443636423345 -1 -0189EFA3F43F5DD80E1FD0FB932A25DB -CF50DE7118DA2D31052EABD54FE7C668 -4636344533344335 -2 -43454330344231323935323943463939 -00453430303644304431453035413639 -4233354139453137 -0 -4F25F6CFEBBE5933B905149E9F6C3776 -733298290EC7AC27371D704D7AE886E3 -3630464242304434 -1 -7D8764FF81658A4FF24FB8CA8630B992 -56968D2630C0857A63FE307C4C2EB869 -4235334144443943 -2 -36324442443038353238383130444344 -00323033463735414438344135453637 -4433433345373345 -0 -6E9EB797ADAE9E04D02E3812890F52EF -79C740CAFA0B6E7030EC4E771D58CECA -3439373239463743 -1 -31F4F467B64A07AC03A0A0688FFBF35F -C9EA48B992478826CF4AC1E0AAF72F31 -3330353039413233 -2 -31363033353433393539324131383141 -00313639343836373842373235413542 -4131413932323636 -0 -9FBAA6F724BD6C237AB58AF1CEA9B4A7 -2422D30FBFA69B4118558F2AB1B85E57 -3342333846363135 -1 -6CD60E051622474517DE8790D0CE1C5C -D94FF4287EFA8F51F2B7405E3BD3FA10 -4541303941423834 -2 -35334437443546463545313037323942 -00304532423931393331324543413245 -3932383143454636 -0 -7CB7592881CA151307D74822C08232B2 -E061971EF084F6A3104AE3A7695EC245 -3345303033303038 -1 -83FA114FE211AABD20A70CBCFEF68759 -1FEB7DB5EC383F7ACE41E08D791C2946 -4146434531443630 -2 -44323433363033323530383334344336 -00354435453343383239373436443443 -4139374232343731 -0 -0DB46C718C7803C80B43038904570514 -9CF518E9645559D95B56A849E5399D68 -4646433530463835 -1 -3F0A5D2262DA45CE1D34D1BA378C5E47 -1C021F75826ECFE65D7410439BB395F8 -3145323633443842 -2 -34394241343046464533384544463034 -00453439303937313741374137463539 -4438453044463041 -0 -91AF1BAFEA2279656640920F84B77891 -A97CE926202450EAA3DC949B7667ED71 -4446353146343045 -1 -14BC4164AF903FDC1F13A71AD6CDFABC -A69F5D68FED78C9A61B520819F683000 -4532443646363833 -2 -43463046394242454346333239303736 -00303435364144354341424230334546 -4443423339314339 -0 -AF056B53C772A57FAA1FA079FC99BFA2 -00C407E9FD016E2E449DDBCE90D823AF -3230324242453937 -1 -43555F63DC6C86C0F92416E6812E5E52 -170DABC9DADF10FEA371CBF874F1F40F -4444463946433033 -2 -39333246324136443938343845333532 -00463236463646433345363242363636 -3734463332344635 -0 -7516E7DB80E53B4B535CB8DFDEEB4AF7 -DB9E1CC03E00B426CFF88BE2D8113FB9 -4538463032354442 -1 -B8359DBD8C256D410A17B6061BCF8747 -67AA91EB013813EA85F0E13CA8578D97 -4645413437384142 -2 -34303238324138463236443946394635 -00384545363843363636414445343838 -3038303235334141 -0 -6BEFE063AF8F49A13AF4CBFFA415F8B0 -81FEF273FA567E50F36FD09F31F4452B -4136354330444232 -1 -CA4E3401DE11A6C19A2D7ABC5B9EBCDA -2826A7A42D944AEB89F5367D2431105A -3243433437353641 -2 -38373438363336433032383630454541 -00444343364435384132433137334237 -3936463446314236 -0 -994FD89731659CAC1C21F0F8AC4DA97D -25626F689EEF06DB376257619C0B67D1 -4536463441353141 -1 -1A64BFAAC454030B9BDB8ECD50E16313 -AC0F044226853078B567CD40EA85B973 -4642363742384535 -2 -45343033334246334241383130433732 -00304235363633353139434331423236 -3830463244383636 -0 -543056EEF8D585E3A96695F471544B3F -6298502A221BD9960ABAD7CF47DF2793 -3334363730354142 -1 -B9EA4F39856544DFADCCA6AF952B5550 -9FEAD36CD54D10B5901F4A7B7B4AEF59 -4230363934384443 -2 -33374532324438304237343139463242 -00444534363242313231413741383333 -3035443133383737 -0 -2F4D2B9A840A2C1E63FEC73FD5B6EB2B -AF0ED7446701B6D715B295E66A336ED5 -3533393731313743 -1 -C2376AD003C64E232E42AD5F2ADDA1A8 -BD5A28EA7A29EC98F24C2A245F4ED0E1 -3930463546433141 -2 -44303344453634304631373632443632 -00394437363035303035354631364144 -4634454534464446 -0 -B59178BB98D90CA0941B8DBE2E32A6D2 -0F7080331274382AD5C639A4507B5DB0 -4337394144444144 -1 -A055997739863E58AA0C71234C5C0F3C -289DCE32FEB80D9AA132F541A0B6AECE -3345333137363242 -2 -34453931463446373742344332343337 -00334344343730373533394341423735 -3137324345304242 -0 -F2A807FEA3DDD77BDAD57362D2B6D29B -DEC25C5274FD77FD83B5DD591B153185 -4534464439453430 -1 -4C388D46CB23843C422C6F983EE12DB4 -40B73F78E8E8F52CB8FD8C60BA1F9FBE -3941333741374337 -2 -39324132463230413743334335324139 -00344238353946453433354541323539 -3630413938463430 -0 -14D981289B08F1BD8682F0A0FEBE8FAE -39B49182576DA958F03EAF93977DD9D6 -3730463633463141 -1 -F6536A0592A068B25C7701C88862B5C1 -409248BB845AB2F9E7F13E7E3C729822 -3445344145463646 -2 -35463833373943383933303932434446 -00303738304643344430454246354131 -3743324638323146 -0 -B1E622F2934798B451A226B72888A3C8 -3666078D284069DB113321BCB14E42B3 -3831424641373733 -1 -177F5F66C7405AD22836CB4F7BA250FF -792376C94EA8E52131FCC9D3C980D7F6 -3442303834444139 -2 -42463837313935324646423139373746 -00364139324142363542454138383344 -3031303443313342 -0 -6050252428E5B6EE5AE8A95272AD564C -E63C537A1E1212586C2B728CDB549483 -3543433636313935 -1 -F7E59B77343AACD5664F6B6150377C74 -1393FA34C4F0AA003ED5FCED8E8A3F22 -3438333036434542 -2 -38323546323542384432363442433042 -00373744373836433039433735413234 -3130323930424335 -0 -1E18528F031831999CE876A46A1ABC4E -B0C7A734F4F65E3D25DA2C8C8A57AE07 -4645333234454131 -1 -9C5D657451742CD316D44BD499D6506B -C085713D9A1EF3B3E9425A879B35D719 -4241423730333932 -2 -41354331353637363031353430333438 -00414146344545463841373844304241 -3536353532343130 -0 -B9165A3044FDFE83DC097E069B0B4614 -CF2BDE732A53DD6BEAA981C7CBF701BD -4231393037303637 -1 -DA39CF4014A87E5C34E8589F8C267FC3 -F24091EC877B726ED37B319D1166DD66 -4545394445333938 -2 -33304136303446354133463342303931 -00363441383731363641333444444343 -4441333945334243 -0 -F17240BC1D0560D20906CBAB3B7EAD1A -D09C61CC173472A0A07D0618F3A3001C -4330344335333246 -1 -02750DD3E00323B2370B367AA0D13BA1 -F07623231E8720A9101DB4A7C7BF22D3 -3344413539443232 -2 -32344642393542434235313341454543 -00364134383544423237314235334441 -3932393931453943 -0 -874CFBC3310074AC7C227E5E707708A8 -71621FAF886C01A27B76C758B528AD32 -3233414338353833 -1 -6778B4101A6EBFA9C9AFCCD835EFA3B2 -D77DB9912F047AF1B563E9804F55308F -3636344542364230 -2 -46454143364244443041413238364143 -00354443324443383430364636314635 -3436323330324432 -0 -4BEDE6125517EC41296B1165128B4B2B -2325758D08950817A890A5363CA143AE -3546324241463841 -1 -04914F5E7667F2DD36978DD9DD56E2C6 -F6412EFDEC5EDAD0832B5AA55C059BED -4242433431393339 -2 -36373635313930454446424145333337 -00344644444538383934434244463430 -4537364341324338 -0 -552D9CBDE4577124481D288878EEEAAB -832B561D63EC226BEB139598D0E19DE0 -4436394546394344 -1 -44EAF4AC429676FCFD7876285F3367C3 -CBFE37954C4635840786096A082DD73D -3637443131433442 -2 -36303839334330413139453531394531 -00353934333842394638423134464331 -4331363543463737 -0 -BF5AF4CAF849150735E2B4DEFA29593B -2D4911A7286B8757F9CB014582919610 -3241443536444638 -1 -8B0FAE671A3090586255EF898C6F89B2 -09EE7B0B4CFCDCC27BA79E0093BC02C1 -3343454138363344 -2 -43373639303237433543394531443933 -00333544383533423131353938383639 -4231333546353442 -0 -CEA9080ABC3805260A9A3F7541C2A6C6 -07AEADDAA0FD621CF182284933010C6D -3335443337344644 -1 -C0B908A55DD9465A15B0828C43E2BAF4 -1457DE5E86931FD5D8C37BDB46E95FDF -4630433535314138 -2 -42413835343346353532464442343534 -00323341383835383931444533373636 -4341444342453831 -0 -8605DCF19397210E407BFB0D43771D79 -2D2ADCE0D2061196D9D6247FAE15FDB6 -3536424139414645 -1 -2C396D07E918CD4980856ACCF8743C3A -00263EFFEEB2DBC30B02DB735871F6B8 -3146383431344435 -2 -34303231343441314138323341334442 -00364530393131413139463245433730 -4343394336424141 -0 -D408C82C8F168C1E88E494EA3DE55F1C -E9EF501CA52C5402979DFC51FC636F52 -4333353137303231 -1 -70333010B65005E48B576D4F8FFDE9DF -B366BBDB7141117AA73C9E95B802AAFE -4536323538303936 -2 -44413634394336303939463045443441 -00443345363545344331413431334142 -4237354430454336 -0 -97EBFC3EDDEDFC7F9D1B34BEFE8A5B48 -72EDE590983323F7BD41D586555F283B -3143304139364232 -1 -0D457510EB37EEB99C43B97F21DE3065 -329723211CDD0F3264C213651AEDF21C -3745434535343442 -2 -31374543413337384142354543323942 -00373444343043424639393444454638 -3832323239424430 -0 -EA69E35C3497D98A8786A69E7D3367FA -C09E54E80A4F95F421EA2B7D1AA28A5B -4639434143333236 -1 -59BBEC1381931CE76A1C81209F19047A -69D8977477DAA5F465DD927F109ED793 -4639383836444436 -2 -37303843393235463145333743354433 -00463834364532353741454537423441 -3541304438433641 -0 -DD835846DB15509328B97A378A33251B -FACE5A773F117993DCA904F3CEAE6AFA -4542313844363745 -1 -55801FC6D1D785A37F77BD1763265C07 -6DB5715B26C6B3D18AECDBA01488F4FA -4430374433424330 -2 -41313738363936373631413537353244 -00444233463641433632393944364145 -3945413330313739 -0 -7389E041DC6D6EAC910AA82B4F46901D -19F75ACD46843B897F5E3A19CA46D997 -3630304339363346 -1 -8ACC0B2EE1DBA376E7215D82FA4CD3D0 -7BEA2779F8CAB44B557382A438B44A3E -3441323631453033 -2 -32354638354242424133444630343937 -00463832354436393739303837304239 -4533454439374142 -0 -BC8FC167C6DCFB21336A3FC3BB766BD3 -6309EDFC7E4A2D85D6F57D8926FDCB5F -3331443843383336 -1 -5EC9DC69F84C37574AC0CDEDE31A3061 -CB29284100CC42148EC2C5917B262FB6 -4243353042373233 -2 -43463243373330344234464346333936 -00373442314134433739433231453641 -3741463337443046 -0 -280D0136FD66380CB4BB79FE15870E5B -0E9E89987F182743FDB3882452B29417 -4532443535443930 -1 -E1B03A889DED803D43A871EA036DAB64 -0D207271504D77AE271F77BA0BEA874D -4242383731464644 -2 -32354233343843414634354141373142 -00373742423632363141443339443037 -3343343733373133 -0 -3CB7C483C99008E9EFF44569A9812E3F -F713427FB5E5A431E26232B5EE8EEF26 -4444323135454234 -1 -EFF8D007FB9964ACD598DFDCC44D98C3 -79B9B376EAEEE8537BB396E99AE3C2B7 -4636343636434534 -2 -36373544453332443639313737443842 -00323734314543303430364144344530 -3444383834303439 -0 -9BCB43480DB14E9C4DA6F36294686141 -52DB49EDFABA26D22F401E76DEF15350 -4233363936383643 -1 -33F59A12C27D6746097C910B994B60A7 -899D87F651D8E51254CE8F512C6D9344 -4239454635313146 -2 -41423443413631353645343930393742 -00464446333234454232443034464645 -4443343245363035 -0 -6D17F7FCCC6E6E4F829BBB7B2D556132 -D502320CD177AC484ADFBBCBA1D78F9A -4545453835464542 -1 -19A362FFBFF4385428E3ABB3C1222138 -326BB48E2263C2A3EF44FB30ED3ED7DE -3037384232313232 -2 -30323637313431463234414542303939 -00453342423636424545373046393234 -3237364630453245 -0 -A87D0EA3A89F7E52F6C879CB8B1C39E6 -3ADD08C2D81D46E471AE7F8CDDF444C6 -3038443143463046 -1 -DFDF8265858B08A3C3197C430A68A397 -3904411AF9C999D4B72FA4DEDFDC94F4 -3436444544343734 -2 -38374435373830324336394135454241 -00343536444635313532303236373643 -3642454445313641 -0 -B4BB49497253A2143F79BA2BECA3D8BC -298307668058ADE3E3C9C545A8EE9AF6 -3434304244314539 -1 -AEBDFCEDB14DC4E6E08BD1AA0AAAF39B -9F8367015FEBFE93BC696DE3E47BB29C -4638313437373932 -2 -38354244343730314346424133423630 -00354641443446434330303438393633 -4535353042443245 -0 -50DA244D6147D31DB5EE7A4202F9360F -F213F010B3E1315A9EC3E272F38E0590 -3635444143454238 -1 -3C03AB5E8F6B3EA15725D8F73FCDDDE9 -9DBD10B22BA1AC568688421D1B415598 -3246363438373138 -2 -42443731323146304131354546303444 -00393235344541364430413537424433 -4536453844383533 -0 -AF99E30E10FED13ACD5FC776711063B1 -694C798F843C7CCFF798F6CA7D41BAA4 -3245453446443439 -1 -EF90AF9D76294519E5F013C78233F113 -8E558CDACBAAE19E8A24808BA82E29D2 -3141444235373545 -2 -42434533383036393633423433433344 -00364432453031464145414636464441 -3644434238303743 -0 -B50DDDCBD628F5E10164DE1A2CDD1D55 -A31B4EC91A794B2C9F3C2DEA9E19A88B -3239374239443446 -1 -50B830358F43C7EC3EC107560E3B0AC9 -1E68ED907D3FEF7F035D454C51FBEF1F -4244303733324438 -2 -36374434324135413030433336413138 -00364232323545453245353630324530 -3738394638303244 -0 -109700F11D9F269094DA89306602F9A5 -0A35BA3A6D70808F2F0F3B69DDDE4E17 -3836444131323431 -1 -C286DAC5D583E1FE492C9DE87640741C -E79C48BBDDF75EE8343540612B723955 -4434433939444435 -2 -38413635443946303437344245433041 -00423834373738354234453532424146 -3433383330314130 -0 -8229185CF585400DED6D05BC551A3782 -3576F816095E6C2DDCBDED034136625F -3632383342373346 -1 -30F7B5A4F5B055E6250067809E08C321 -B623EBE2D9E7DBAA2EC7C280E4A85A52 -3342393637464131 -2 -43464434353931334446304344394637 -00344543464539324132393131333236 -3436414443383835 -0 -D53924A73B6CDE6883E9FF33C16B1099 -6B9658E6FD1C5A05DE3E5993D53D5B41 -3430354139364436 -1 -78D5D8A424716607583C33DD233C23C4 -33663651FD01FA545981051146985869 -3130393832333942 -2 -36414534384230304535383537374441 -00333438373245383337303542413031 -3131354136383045 -0 -03014DBD4859248C27579ADE3CF627C6 -3D020FCA0710093268F1E694F61A30D8 -4534333646333644 -1 -D6CB61FB7847171D4FCC8609EB46B28E -591C9A077557BA1BCC63B68941D33FE0 -4643463543413941 -2 -34463838393739373136424345463732 -00414434423734413433463044384231 -4642464542383645 -0 -DF08DAA167D2672B8939949749EDB834 -BB3943B57B4DD5AB96E4AE32AEA85EB6 -3142364233463234 -1 -6371DAD96C7996276228DA56D0BE68C4 -00D336CC5B797751E53854E5056A08A4 -3541413232463230 -2 -35373339423846303441373744343042 -00424136333344394437424636453045 -3145423637363038 -0 -CE4B136FA7D717BAC0C377085855F016 -D755E3065077620DFB4BFCAAB1551A9E -3942463434463438 -1 -E725894B55891720985E7B327526356D -AB48BF60AA21A16E94CD5B47496F2D1A -3145423045434241 -2 -33393337363846464544333942433637 -00364534424439434334444130383539 -4635383137364142 -0 -7E4450F9D3282B6BEE36F18753097A98 -C6AD0799071221A7B9F5C5F3684AB539 -4631323539313437 -1 -7F752BEB00EC10B97961BBBE5D76FC94 -E97C824560D9DEAB603CE81ABE35B8F1 -4637313345323737 -2 -38364239324431353339303138334445 -00363842444633433634463437374343 -3438413032444537 -0 -6AA3824B3BC2453BF4C5ED0ED2F4F98E -E09868DF0EC80800BF12F0ED3C9208CE -4442334639343443 -1 -BA99423783067EFF2AE87F5DF8C2E4C1 -47361D2E65308F8A1329C7036C2351D1 -3835343030373038 -2 -36433632304631323741363242393631 -00383934423133333637333645334543 -3232304241303345 -0 -57A482B4C641939EC5894F3B59EF10EE -162C4AA9C54B4FCE677C03AAE34E01CF -4236344235354543 -1 -66F38445B1B90F642799F74D8224DC55 -F67C8B9E8BAF0CB8F1C4A365F5F730A7 -4441354246314144 -2 -45373333443131394144304335304336 -00394336323730463135423037354543 -4337424442423235 -0 -FA942B0337DFA3E3A407A480EBFB1192 -2AA770D0251AF8D95D7D8D6BB63B5B21 -4546314331323542 -1 -E4A44893FDFDBCD0F9D088B2FFBFF0B5 -BC0707FDD287F189BB7C947517D32B0F -3241463845423439 -2 -42303234364634333241423233453437 -00333638303932323332413244454241 -4243464143383131 -0 -FA0F49FDF1F1A90C23425CE2647CAA47 -DA291E0FD8580F32429C63FFC9A3D0F8 -3430454146324431 -1 -44BB7C653DE85DE2DB179ADF06FDA6C4 -3564770545120BAF7F19D7DC26D165A7 -3534414343313333 -2 -31373746394244354539454335303035 -00324338454332333043464344323046 -3937364339333138 -0 -27E7E463FF5A0F6C0BDA9AC23908D26B -EA19D50BBCD129485E742BD265CA6C55 -3031333943304641 -1 -81510CDF6A2B32930CDAD6D343239C89 -156B09697E38A6CEF959A84A820E2074 -3939443630314636 -2 -39454446353330323739343833353237 -00343832304131413345303346304135 -3239324643443841 -0 -E519929B787713452F56E7308ABEE45F -0469747A8B5C1C2F4FAE1511444343A2 -4131314634313143 -1 -E1A15B2E10AAFFF45988C108B579965B -3BDBAD3BB1FCEB942048A8AE294A952F -3034384141443833 -2 -44373041374136364437354239463846 -00333146443139443632383046303343 -4131444446303430 -0 -B43A11ED4E39A18CC92DDA499F10564A -B261989554F3834EB0E1F3DEEA4A58FB -3334324145383143 -1 -0988879371EB01A3C5EABA510E800E12 -4485E64CE99279AF9A855E8CF5162762 -3542344142433142 -2 -46444135323935393645433142423133 -00433833424445303833413346424533 -3341324632464145 -0 -B53E3F59470371D9B5F125A77214C8D8 -58F990C5A324A367E22F551AAD362BD8 -3142373335444442 -1 -59610BE10E4AD9157C157D8942804E1B -EB32D9252067E80307E863ACA0D79D7B -3331453845374136 -2 -46453535434230424435364146414141 -00323542434133304231383939324631 -4435463835333839 -0 -200D81256EDAD578596CDE1425FF62CA -1BA4C5216D6F6C1367A23F529F6014B9 -3044434338443735 -1 -2608A6A352B86D46479F0BB33F49D446 -DF57C38791D5F169BF4ACD73CAAE205A -4642453734424230 -2 -46374333343435443644313930314346 -00323833333330334545413339353334 -3833323934434231 -0 -0712BB420B4D524087DA962523FE49C9 -712A3DA32A70F0A01A28DE8CFC9D4CCA -3141453545333234 -1 -24A7C1C6DADF06810A0865CC59D30DA2 -2F4632E83EE705AE1A4B5F860F631152 -3632334143324544 -2 -45443142363442453743384332353841 -00333141353644423830363532343236 -3044313642413639 -0 -8399A7672E982D0A9D4C29E58A1D8C92 -9E0FB92B81125E77746480DE3746FBE4 -4334323238443046 -1 -1D0A298E969AFE3B32502E433289BDC5 -79A418E86139AF97032E3F1E187E01E8 -3744303531363933 -2 -46363230464141353036363333424545 -00354331334435414235304342393037 -4638414637343935 -0 -EFC5E9CB67DCA1C72FF47BB7F2933C75 -CFD1911E74502DAE7884EF8914E04389 -3532313443423943 -1 -23F789A2A104C6CD9BCC48B2CBF46C96 -7542736BA36B8B642A7EE5160FFA0A35 -4630443945303541 -2 -32303730374636424630374542424436 -00464142464134454231383931443446 -4132363241393431 -0 -EFB05E04273C691601F6F57B2671AA9B -C0A7FDD5D43A23F90F65E67D7FE884CE -3238444137343536 -1 -B89565DE8AA6A74F16D719308F58D24C -04BE3242B739556EF907700C8BF5721A -3439434342314632 -2 -45393337343636334234413836443931 -00354437443233314246443731443937 -4435363935333439 -0 -7789C93B875AC260207CBE0AFF28C755 -CFAD802586C9FC2405D31A168CEC9B35 -3839344346424641 -1 -CDC34C60097D3E242F32A288C7209CD0 -E4BB7168A48C02EE472C0EC7DDBE6940 -4430333443413746 -2 -31304232363737303946394636313244 -00313839363638333742373335463345 -3839383838414239 -0 -72B24DC130F5C83FAC7CDEDB6D854322 -0AEBDEF21770190D1C2B60C4AD7E71E3 -3439374131454141 -1 -69D6F35DEB89C118B1154D3D5EF5DF33 -B40CDFA8F88FB5EDBABDA1C9C1BE8854 -4132454644463233 -2 -35344236443235353533414441423033 -00324535383730323946323645343945 -4242323843383435 -0 -1857A4EB6D576172642946773A26F5FF -5E4E5416CA8B76B87C71C3C17C15FEC4 -3242434644313432 -1 -A40A2E2E0157F3E72C91CB8AC13395FD -C826447921847A28FDA2E46EB156A757 -3330304330313032 -2 -34433533464232463145444342444137 -00364345424330454330414331414543 -3638353342414130 -0 -03171D729A8476269BB01BB7B35A3075 -BB91B42EF60711F3E95C8625A0FA72A1 -4543314437334338 -1 -C8FC85AE8173AF9E9A979274C90D0894 -84E7AD498F0FE3359AA5873E1AA8AC52 -3633364433413037 -2 -39413541453441373330384634323143 -00463631433441323830464241303243 -3245393033424141 -0 -59BAF4587575454D5C265DD6A4F2E959 -0C24F38FB754AED303FEA94EDD2869AB -3741313545424331 -1 -C7EFFDAECF5AEDCB81F94CB15C0EDBCD -B437FCE79D6968A55258ABA19E53569C -3330463932423335 -2 -34363543303434343741443831364438 -00323639313639343738453934314633 -4238463639313533 -0 -70B0BF86CCC3838EFAE98681DF3EF35B -8CCC8920958DAB355EF42A5CE6312B31 -3841363846414336 -1 -956C83BA3B9114839496BF3C91BD7A49 -AB0663F378CB3335FA76BB0571EE27F2 -4445374341354130 -2 -34423545323132413631464646363543 -00344535394536364445333733443738 -3741443637423041 -0 -B1113EBD4DF398E3E3692732B725FFE1 -9A3C29BA3977DC3BA069CB202B644760 -4643423144454233 -1 -0A6B313E45144610F68518DB16E5F354 -9C2CFB2682F0266265E1672528423E3B -4631373039323541 -2 -46303036433942344242363142313743 -00323032364642363132364135433436 -4534304337413546 -0 -754387749B1D129DC583778C3C640476 -9EAAC248C2BB82CC6985D76902EB4C81 -4135453645394239 -1 -AF6676D988620831B33667AB2219FD33 -12B6B9FCF0BCAEF8E2D1529FCBECF6FE -4431443034393734 -2 -46363132333434303932353937373745 -00344535303532453630454139364635 -4445463446353938 -0 -D13B41A3ABDBE878C8CC71232F5C5469 -8F3DC6585F24425620963C67EDBC34A1 -4345443032313042 -1 -3F0283DA08624629D8E29AB6C1A8EE19 -5935A9BAD625EAB963D3F02851F98A42 -4431334145434530 -2 -32343042363133424142354330374337 -00393841323532463635393431373545 -4134353942413837 -0 -160185A938DF6D63A14A44D20B10E8F1 -1FC51BB08CE1FA6F1FB05409AAA3F548 -4437393338434532 -1 -096C224E4D882CFCA3567F5C811CBEA9 -D1C420DD36A889003910833748DB4BFE -3136464331453432 -2 -33303242373631313942433844453936 -00324141463232303831444130314339 -4242443730303541 -0 -E839E11B5DB63C1A775B7F0CB2337FF7 -63412C60CCBBD887617056B7540032BC -4634343641353833 -1 -C188137DE394A0E19C199E53E10C0917 -9A3494B1C944F087CC7F31B031D4D081 -3046373234433446 -2 -41453734464132323130443531314131 -00374542343134343042373437423338 -3034394542324332 -0 -76A3B31A6BCD53ABB96D6F2AADB9FE0C -6FED9E48420CCAB33E617C0DDD882F27 -3531353442383644 -1 -96F57F82CAA44B3E3A1A26E6117A88C1 -1CD87FA5315DAE0407E9741534842A23 -3836454332394430 -2 -46374432394530454634463543343341 -00303431363835454533423043383037 -3941424239353338 -0 -6529548E09749537016CAD3E6EA19F9D -B3B0B0146C057CA94AA63B01F3F29262 -3534434532434431 -1 -40BE018815923F9679ADE9055BD07F82 -B4BB629F91E537D1C7155907DD9C876F -3637423731333041 -2 -34423338394439373439323542414434 -00373041313138373933464136463443 -4244304635393138 -0 -4BE5C32DF315A137ACA3F2379166EB5B -5DD122E96756119BD89920C1385CF974 -3231344346443333 -1 -B1E7A8FC857C394E34A1C8BE473FA393 -1F27EFBC8342A4BA2CA7F514610E3EBA -3839453746343431 -2 -41464243393943413738303734324639 -00444336304332383530463434333543 -3733433934343946 -0 -A7AA74606990ECF721D29C6DBF8FA66B -26F92CFF753BFF15E42F52072798EC33 -4134413344364434 -1 -697F10749C3EDA83A0A63B51D51E70CE -D4E7537DFC282C4AC91759E269864374 -4133433945384237 -2 -35393041353936373035343443423236 -00374644343737454133373842324643 -3531373137313733 -0 -1A8CB5E1F003A9563FCE533CC0E479F9 -CCC4CE974E6AF8ACCBDF85310FE0CBA1 -3342453834344635 -1 -A61C7DC5DEDED0DBDD2EE434B3C0F7CF -9034A3EF91437E9950495E05A9F77158 -4641434536374243 -2 -35423435383243304446353432324246 -00423235373343364438343330464632 -3144443030313939 -0 -526A4A1C2E8D83544A77F273CD5B9724 -090E22EC425644325A88639ED5885C6E -3543354545324543 -1 -68A6A80B701FDF9E653E649B7D437C0E -314CFBE1E8856A50F671F02EBE68EF9F -4231363043383037 -2 -38333339424330344642303937464534 -00324242414346354435353945363141 -3132433345313536 -0 -FED97C0266B5A172CFC289F102E8443C -20E4BB6AB181EA59F1DE4B260544DACA -3639423136423635 -1 -36B000E3EECA4298AFF9065C15E235EC -6465D1A2ABC460E513FEA08784C46315 -3739384335423635 -2 -31413335313341314635354442343242 -00434234443645353037313532374144 -3938383441343143 -0 -9CCE0DBCF4AC949DCE1D4601150D40DC -26079448086CC08F141DBF72F1053B3F -3544453631383830 -1 -4C047A06AA9C729DB16D4D72FE5DAE66 -7EB87009B0882C7B035CD2AEB233A753 -3741353839393543 -2 -41423730423130304344343036344339 -00424334343930423335344345393944 -3733444130323339 -0 -61C4A7B500C5E2857170FC476DFFF7ED -E039D2635A7806FE26C0EBF94E9A057D -3933303434303530 -1 -06AD10E0BB9BC436187A50756BD39485 -64573AA7BC97C5327DC49F0E6845F22F -4238463934423031 -2 -42323131413541303542333634323334 -00303133313846433045353539363637 -3643354239353836 -0 -89AEB2EE4360FC494D8ABCDEF820A2CF -13DD19E0AFC5BE76705A58FA17C56FD9 -4143373431323436 -1 -6A190F83E1569953658D154F337E9EAC -31C98BB47C91EEFE791C50980C9DD98D -4443363843433335 -2 -37324138314231364532333633344231 -00323143383131354438444134304630 -3842423632313035 -0 -EA2201A1445F82C3AB97F417E0C3CC90 -273100DFAAF20CB2E5408EC71EF34E6B -4236454332463330 -1 -2D0A9E3D27078C8934BF9EB8FEE37193 -F82219A8A0631AD1C5001A819B471438 -3833303234373946 -2 -34424635433031373141423330414539 -00303934323646413946444436364346 -3846333338373430 -0 -7E724E000D3EB4E2918BFB7DEE4AB9EF -1299A748834C9DD81AA06990766C9AC9 -4341333841343042 -1 -024D1F947737F1645BF10872042EBB24 -F494765EA770D76BD729C9D8633782F8 -3038443635343644 -2 -45423242423841393337393344383442 -00424446303646304543373331443033 -3436354230423539 -0 -DCE593194CBF731925A08365E2ECF8D6 -61275491FF24E8F4D804086CE09689BF -3830323439444443 -1 -3D9FC47050B0E2B4B26EBBF09EEB13BF -86A235462673DCF26E2B676CCAD3105E -4238353943334342 -2 -38423638383842363141344532353732 -00394644443731383036324339453838 -3342323932424537 -0 -F4F8A9D7BC51DDBD560A29588C410E96 -4D58C1C007597923F54BD76A48D64E9F -4531423639374441 -1 -4D34EC3DC83E64A45F41765EC31762CD -CAFABFF09C9B66BE28113AA60E7B5F4C -3537384237454446 -2 -35363238304638373443314530304442 -00353441393346464237413235373137 -4231343242434435 -0 -1B1197466417B87EFE686B1725CEFFD2 -8E4962465A7B75B3DCEA8AF496F3D155 -3032323132413836 -1 -25C2BB2134F53A4815226AAE02295326 -1C2F3CE8DADAFFAE36B8BB3E30A35FEF -4238343439363432 -2 -33453533363246393837333133423437 -00363841384337333443374432433038 -4541423237423032 -0 -AB8076B7C66C194AAAB9A0352049D135 -CF62289DB82D99BBC0AF7396CFCA7D48 -3745454430443638 -1 -56CB2184326823350E17259F96EB4F1A -70F3EE626F4472E08637F6E076EC71EA -4438443236434530 -2 -33334345324546344336364542454339 -00453732313537454434303331453332 -3235434346304139 -0 -6AC55329AEAAF6BE9659E1647CB7CAD7 -3DEF1DAE5CB4FD7F5DB20FCE44745283 -3841334138324535 -1 -449B293342E9B7273AA8D933DF4AD3BC -9DC12F3AB082ADCE1CABFE90AE108F16 -3844374243343039 -2 -34314645313535413130303136394138 -00434235303539383231334635333832 -4339383246433935 -0 -BDE409FF9B0575D773D220BE6DDD0B4A -864F5DF36BB19DF01C3BBB2B1FD85694 -4144394232453534 -1 -51AB755C9EF469324333FEF5AD64D95A -8CC5AA760A2A0A2A47EE47298FC8B486 -3342453241424237 -2 -38343946363435353743463739344231 -00333741304434333833353245314145 -3546323430333742 -0 -CEB1FE9C42443B087A7B51B808F9FA1E -FA7FBD66021B8A764691B7B92E10A730 -3731304435353243 -1 -319772324FA2480ADBE3C99694F353E9 -692A05E343E9A939C50A8614FF343D20 -3041423639383338 -2 -38364339443546444145424433393032 -00354645333545334639393832433042 -3141344442393630 -0 -8535232E1D5C923288EDBA47DD51D8FC -8FE7DA73501900D44E1CE18EE4223306 -3143464532454343 -1 -5AB9FFD7C9045FDBF11BB6D39FE10CEA -EC5B2B337533CC273B678515102DCF05 -3346393441413845 -2 -32304642354442414234443433413031 -00443933394544434536303830383635 -3944463335344332 -0 -44C003A784FBEC033231546D4C02BFCA -B58A3223533D2EF665FFF5E5FD5D5082 -3034363531324643 -1 -DC795A1A403CA3E482C06315EBBEF824 -0485A9C7C2F0C1497CB17A9DD2A859F9 -3038433738364439 -2 -35463437414232303639313537353444 -00423631464534463630364536343730 -3839443734383744 -0 -97FC40186E589EAC4185DDCEB742CB18 -0648684291D181C57A6B8B2876B0B05F -3431454643304632 -1 -DA0ACF0E8659046C18244AD09FBFB47A -CEEEFB17DC7DC96AC9169E68B20E3B02 -3444464139433530 -2 -41423230463744383144364533334635 -00393045443543313242424238304244 -4431464534384433 -0 -2F756690F500F6E715BBD0A93400341A -2CE60387C3C20D2E09B80C4C8DD78FB9 -4538364546333630 -1 -A87A17B88A9D2DBE1BDD5068A998D2EF -6F2E8C90826C3D47CDE664D399396BD9 -3433423431353238 -2 -37363837373443423035353334374136 -00433941334532373244423332444232 -3536383541343246 -0 -75FEEE171D280BD9C9FED95112773505 -DDCFAD76C9920A4189493D4C3CB5F47E -3544344331303731 -1 -DF40316A71955CAEF11C345C266A05C8 -36BCF662C51C7A64453B7A02830BA7B6 -4434323132314135 -2 -45313241334134384444303036303133 -00323938333930314432324634443445 -3845354436334537 -0 -090D99868D17F75494866F990AD3DD5F -0AFB6AF00DB872F9F4F02F1B5FB3FDC7 -3534363846423043 -1 -E2DDA28D73011CA976EE2C308789EA43 -1AC058A9D5D8B2BCD6AFBEDA2312CAD1 -3341433338453741 -2 -37453830323442303338333533324137 -00464432324146353442384341463644 -3133433243434337 -0 -4F0C73C6A28474024A428A56B62EAD93 -55FA8002459D1C1ADCE9EB1D8E68EEB5 -3041353246303332 -1 -335493A52194911BDB1A2969F6DF4422 -9DE265C3E30695EBF4F83C0B8B294DD5 -4530333733374438 -2 -43303233333532344536334633414531 -00383446314437464441363031343930 -3039303035313632 -0 -0E2FC8D2824EAD0D7B57920C3DED2305 -E6499CBC7D119A7E6C05FD6EE50DDC9E -4645373234393632 -1 -A041655261E067CF5A0E5929844F16D5 -3CA90186A6DE6129BA59CE66F8265CE6 -4646443346324333 -2 -39354645313242453535354445363436 -00324137383831373845413730364138 -3142313333453141 -0 -6E75C5C72F2DD19339B191368E2EA566 -9B66D033B50F57BBD51C4EC648DE1049 -4646343032464637 -1 -09154204EF0FB20635FCFABFA88DB0EB -76CC121FE3F9A3050008EB5742868D62 -3533313042413031 -2 -43313337323938433031463739303434 -00373933373831434232433643433743 -3232394243373646 -0 -6E81C1C4BBE609B2CAE5E8ED973B81BE -9D76B1167B7F95231E3FF99C3C4F44C1 -3232453442363042 -1 -09A40A1C4E2035EFCE5987D332B27BEC -C7F9F2321B2989B63D28AF723B79C77B -3241393131443238 -2 -44383942353242454538414544303143 -00434443303535324646343043363838 -3834323233463946 -0 -C35B1FCB5455B78B246E23313C7FF46A -21C9C92F781D0FA48F803C6EC2F21779 -3736444338384236 -1 -1B5C2FF72FC3DF6274FC10A1BBCA80B4 -412DD4604EC5E47637A890A4354350DC -3441323930434542 -2 -45334237444436353233323636344532 -00354444343232384335314331463733 -4439324634364130 -0 -B3E6D24E67B363054532B106D67D6D18 -D3C0BC9D5EBD1FB37700E8B083F0AD13 -3946433743324345 -1 -550C24A388BF7BDCDC56B0BD9F713CBE -177C08B5CB88DF195FA8FF8714C0677E -4339464644444230 -2 -45433631313344333230323037354237 -00463246453733413032394446344539 -3631364335373634 -0 -DA14AFB09D484F651761801BBF6E0A50 -74B24E9B11D7BD853C9C4793B05B9C1E -4434434637413239 -1 -6951C95D5F6C9092258AB8B3CB53DEC8 -C18CB25D6AEE072A18BD1DF3A3EC7348 -3142374230304339 -2 -37313735424133373839303330304532 -00453639413746423237363237334234 -3737323335354446 -0 -71FF0A44660990C4034FAFB10DD97E1A -991EE72DE48275E7E1FA862707DC5C4C -3132364344413335 -1 -DCC1F94937F98C0550F465F7D1EDFAD5 -B93DAEFE6E00AC62D0FBFCD392658144 -4238344646424142 -2 -33304630363641303145363232444644 -00413436313337434242424136353543 -3834443737313630 -0 -36F867063DB73F99346EB84FB865FB20 -46781307C6A4FE729249D43A3C9EDA47 -3538454346394330 -1 -81CD1F9697116247546650E745C40DA6 -1CE001E01A15B103BFAF20DDDE09940F -3941463943363238 -2 -38414530373331383341384142444236 -00303946373135304234413741434646 -3733303743303438 -0 -3FEBE425A0CE46643FF55E1933E12AFA -9C7EDD0341F660D04C920AB1CBB58460 -4634333637344542 -1 -258632BAD95F8FB5E927283B07ABEEEB -FE982FB49413533B9901488D0A0FB130 -4541303242463630 -2 -46333541383538314530344644444535 -00393832314439463739313239383336 -4541454432323139 -0 -D68396BC03B42A7E8EBD481F54FF8BF8 -D2FF5CFB693419CDF76EDD7D879D4BAD -3836463042383139 -1 -4D4B472D886C8D6C61D7B6805D8C877E -0FDD074F9A99E4A192A20CD655092E4B -4242354532303838 -2 -36444441443245424243464144333441 -00394534463133414438394638313742 -3445413641434444 -0 -EADE30ACCB03D72E663C2E7D910DC3DC -E9642A06930C97620076F6C066916DA2 -3434363136344331 -1 -F5A8AF4CC7E332E8FA1A05C9BF8B0906 -B1C9A071B2301D94D8A8D979C27F6C95 -4342314142413438 -2 -34413835343844444433383338373439 -00353642353546313030434241303436 -3339353244373842 -0 -19F39534C7B2CEAA1B96FF7DB45484D8 -561B2A823C2AAD7EFD150AE823752090 -3932464541434238 -1 -475AF89A6F758DABA0FEBC487EDB8D92 -93529DEB8A493CF5519065DF12F64593 -3037454237454537 -2 -37383744374544313731324432413342 -00393431363138363836324634303638 -3445393742393634 -0 -7F8DE7DD9E1E0319DA8599978832B6D3 -3A0A6EBCFC2B1EE3489E3A2B404CA3D3 -3036333734303842 -1 -AFC374B865EE00AE1E8E90D284E28AD5 -391C7C4DF8E3AED80DBAA0FA2628A43C -3631424343413244 -2 -35373536413432333742323545393931 -00323946453236343332303043324430 -4632463438373731 -0 -9030CFB22D1CE74D7801351629261444 -830B5977CAB4E15C53783C5E3C6B5898 -4646414133434441 -1 -7C30E877B5EDABF9FBAA6C13344104AB -F83D78B5C5FD2B0363F24BC0F359C935 -4238463431363834 -2 -35383631463937443943443439374145 -00303430424441363539413630324146 -3544354343344537 -0 -09FFFA737AA8DB675D15413061872886 -49C5C5E67416C13A1630D3D6D4D2FABF -4536464546374238 -1 -37728BB50930C91759AB4DF205A10EFA -AF63247E5CB605E38B8E79366B32B83F -3938323845433738 -2 -33423246314130333935383836333146 -00453535463142383944303739384633 -4637393641363231 -0 -135B7EEE05263E2CE5B25C6FF5929675 -3D0749062347A84264ACA39C4475D973 -4441464535463245 -1 -DAF7968F113E0E82EA7C1BB22304141A -B755518AFA0D51664016134EF940401D -3437343245463137 -2 -33433343464132363842323135364535 -00453942383934443039464638303639 -3930313736444536 -0 -C4EBDE87B9765ABEE83A7D56DF236B45 -BF2854D3E4F7EFE28A0C3E6BDAF0D896 -3942463835314545 -1 -484FB2047BEB1F069DA15B0669F174F5 -FC14C346687AFF16DBA363282AFB5FB7 -4241353237443931 -2 -37453539314433413241393243424538 -00353746303232424338453335373445 -4545453638303031 -0 -0428B5DF155029BDB67A51D72ACE0188 -E21E6ED191B5AFA4BFB15857715E627C -3644353741393144 -1 -18E7D318616E17A833484F56B630DDA4 -98F9728CA39465392A098C21811D005A -3735304433383643 -2 -33373731353444364433343245324546 -00383545354245433145393436463033 -3839333930363744 -0 -4640523D4426712203DD21C665BD8E9C -F9B581A084C837EF1B539C769C3D861A -3532384136353133 -1 -A7C3FDE09E8A7C295C2F30DA619C2214 -B407B12EF51F7387BE456BC40F8F6845 -4336463439354334 -2 -38413446333043373237414132354533 -00314133384242343141384146344546 -3033433638353233 -0 -A9E33C20F5E8BD7E704F1DBCCA90662C -CECF31BF370F784745E847D90E727253 -4446363130333832 -1 -C31A80EFDFF210ADBA6B5F316DD5C701 -43E47A2FB9CC1DEBC89187FDB98BB78C -3238323932314632 -2 -32384541383844324139394432363332 -00324336333844353046463231453446 -3231353833344142 -0 -60673942E2E3D2378526EEFC04612FCA -2B9B5DF7AA1C75229F6033C159C239CF -4537423646383939 -1 -78F5D6D8242BB87B9ECEC0B99253C9B9 -319660D7E78872DA359A296E80D0DD5D -3043453836353632 -2 -33444442443730443631363338393142 -00463138443633453331363936434337 -3642434139313233 -0 -46BD98D68443E263A7126A048A7B980C -C06F99FFC9F379ED974F26483100D101 -3435353243354632 -1 -356586A31C2B7D04B970771F924EC69D -CCA013A410512C04D3C297029C034F59 -3632363539304539 -2 -41364141393536373143354545423132 -00433645443236333443384444363643 -4533413846323946 -0 -83F04BC530A8FF2D4E42FC10ACE841F2 -6002FE2BA3B74352E0DD31BA909111F5 -3633324638383639 -1 -4EFAC23A112E1CACB3371DEC6E5FC943 -74048F86CA01ACC69D9190C6ECE698CF -3336394146464132 -2 -35363436453133464636303437364345 -00453231353136383746323645433833 -4244354635443337 -0 -FBDE80F8AD3A440A895E88BF0BC4FD67 -85865EC57D1DC418643030A4B5788FFC -4641354443384342 -1 -318E97703544F3DD503AA2A6B515F230 -73207A870CD24FDEB3C0FB445A60851E -3134443431304443 -2 -41414331353735304132353333314439 -00334434383143413639453739433331 -3737443738354143 -0 -FC28F466C1695EF3BF9AA3BB322426A3 -E0863F41C93FEF4E3CDDD01A6E70C169 -4142324632373043 -1 -F6FE77F6836F6822C71AE28DFDFDBD5C -CFD8D57F06B3E2391EEB0164AD4B5373 -3331343944413935 -2 -41324245383634334630433644433142 -00394239374430424534344245453130 -4236433039463030 -0 -61B7E4E82B2DD64F526CD97071228909 -AE42E0FAC7A8ABBFE75B9FE27DD59D20 -3232334138374537 -1 -51567D6200AFF4A996AD1CE6E3390BCB -285C685DED9BCEEAA5053256359F92E2 -4436373435364241 -2 -35453633324243413436444641333834 -00394133423335384143434633384146 -4144393139454143 -0 -6A6E66384224B7B000F4DD8B96C8C4DD -4F7D156E4BAD094CA0CAC7A31A475CD9 -3036323231454435 -1 -E17204203B077466D3B011CBCCE71739 -1D5ABD3C49ABA3FBB0792ED9086E0C37 -3835423632453334 -2 -31304246454236344338324241423332 -00313244463239373834444132304537 -3330463737373841 -0 -8C4AE6927908DECAD58F7C0C9DE62C1D -FC8654A850AA646C38F9B0BF0E9314B8 -3338303134363530 -1 -2FBAF825A6796F614DBD95FD129364F7 -DB07A285E11671B56117727BE372FFCA -3339383638333937 -2 -42424345323041344232363137374235 -00413832363531414539303643414438 -3935383732384445 -0 -D42FC508099ABDE0F354B59E548F5573 -7501B09087B9B67928C82755419FEA0E -4541303042413436 -1 -3E4F994AA302630A9D53BB85140367F0 -85052A370B9E9577C2A27AB0D8DCA1FE -4141314344394443 -2 -45354230333334383635303939353638 -00333137333435444536394346373842 -3241333146343046 -0 -94F0147F67F8FAED4528DAE025AD99FC -DBAE63360662077B55553B1060137C05 -3030443333314239 -1 -2597634A8E9B72FB6614D68894EFFFBC -563EA566AC6EAB1A36D93746063B4C63 -3841413933323643 -2 -38333830364632423833324443324230 -00383137353930453341373744453343 -4430344236423330 -0 -B70776AE03281C923345A29018F36D31 -10F8EC40AFFEB95A2943E0F7AE1D7985 -3039453638303130 -1 -83F1D90A1907C3F988A9A50A6431DC36 -A362254E841FE271DC05B54C685030C7 -4444333337343633 -2 -38333444393938453732324642393532 -00454134383937353741394545463141 -3332373946314430 -0 -80411D19205A4E6B4C62855480716FC0 -57925B91F858469DE1E4BBECEF6C9E14 -4138423331333239 -1 -B69B2F30895A147D62C47DA1D04BA2C6 -53EE996DD33947C918E9C8D3755CA3E3 -4543434341464535 -2 -38394439353834354139423733433239 -00323536433931423644373043363546 -3934374443393637 -0 -3526BDC1F67661DC0BE86E6D74105F4C -7C0288F06128B177722E940E612B4CDF -3533374142424636 -1 -DBA00A1D14EC05928691C6B3B8CFCC2B -0438CB91967FB303B0B3F4176CCA34D6 -4231443230453339 -2 -41343846313836323843343937413533 -00303631314136434333454331313539 -4236413338353446 -0 -69AF36E12DEB4C1D33F645737F1BB887 -F8F412E5704F5A17AC51BF49742A4078 -4242324333384543 -1 -CE6AD714833096DFA3BCAE07E4F5490E -0AC23E137664EC201F955CE1588C1195 -3536443231434446 -2 -35464542324531383343424138303639 -00344233374234433132453245423239 -3234384441354635 -0 -739ABCF02EF2B3E01DB39ED2F2CC669B -5DD1EBAD2BF28A73EE13BC14C5228CFC -4430384645393831 -1 -60139E6C32837ADCDD502F23EC63F256 -C8304558DDF941CDFE65DD8847B8ECAB -4245434646333435 -2 -39364439333542454445314433323837 -00383333303531423344413330463837 -3931303431433035 -0 -1A34726B634ACC119617037E4FE5F779 -E8E972864AB4A233A98BD681F14F0ADF -3637363943313739 -1 -093CA114C3A46A9AE45C55A6047B872B -50C1E1D6B8D0D197F01557351B2F8895 -4539303938393239 -2 -42333443373242394634413131383238 -00433930324445313645414537433834 -3835373331323642 -0 -668EC4ABE9BC6BD57F8E5612776553E4 -9FB9B8926478527FAEA26A8B9FCE03F6 -4434333537454536 -1 -EF434A2335357DAF76A831D13266CF86 -3B8A71B8078F966BD81E886F92042161 -3932393943353032 -2 -32334631383344323945454641464637 -00363143364231464441393946394336 -4445463730433038 -0 -A37990D3B5C637F7A1672EC7FD8C3605 -DD0BD8A2C4EED8E4EA99A3AAA76D32D1 -3832433135413345 -1 -5FAD01FA15D5926ED226F39188C5094C -622801082E7C97B2D157EFA0CB1F4D97 -4236334233443438 -2 -32323336443345364231374443414144 -00373231324232443136393533444443 -3343433845304141 -0 -48149E133EDD3DD111FD5D7762D42F36 -D80C454F5D7B1BE16E828D56C886A9DD -3838343542324236 -1 -D4F341897F960DCA5FF8099B65B955C3 -F526FE7DB6F88ABDB7F4F06D19C1E6C6 -3538463236434637 -2 -37394246323739364131364644363932 -00333830354330413446434143423238 -4143334143413546 -0 -96A4B64EFCCBF6D0432F5AF64B9C048B -8297B9B398EEC865043183776DA9C954 -3331453639374333 -1 -50C7B99697625C0585DCCB4E2641A824 -C5B99BF281B248084AB11CBF705B8806 -3442454338364141 -2 -36334245333532443642383943433039 -00303942333134374432343538454632 -3742463835384632 -0 -8B599CDD4DE6E1C05051637E0410D538 -32DC38B022F5DA0D5D9E84176E53C383 -3543353831373637 -1 -9EA7A9BE05DCE16C6BEFC196730BAF80 -868E0D53924EFDF9140139D6C3D0F51B -3732433233344338 -2 -45434134444533313542463535424231 -00373845464142364337384643343737 -3238374241353846 -0 -F959DF300CAEBDF02994E2F691BD86D5 -49A442FA40A0DC474BBD373C949BE0E5 -4133353732383837 -1 -85749ED269D9F51330512908D5D12B71 -80A146B9F412388C2576857AD3214840 -3330363538314134 -2 -46394437433841453739393243314646 -00464642413337443344334345443042 -4239423331453145 -0 -9BD2B84587D8C339F745455011CEF79F -7FC7F89DEAF72429E5A1773C6CE024B9 -3932383541323432 -1 -3E23C3C017210424E24299837E3065F2 -A9FDE13E463550026969113EF47829E6 -3032354636434343 -2 -38394134343733463345433237383233 -00434244463942464331453244414543 -3030413833423537 -0 -E4AF99337FEDE85C62C0A1B0E38FC0C7 -41724B9492EEC04CAD31A33CA3AE41FB -3238353630383533 -1 -303C45F74AC0224BD7C12AE0978D5508 -21110A015A41B8C171158D45663386A8 -4434333743344135 -2 -39443532424236303635354432303034 -00424635364441333244414531343343 -3843303833323145 -0 -B6A8F13F5FA272E1A13AB3BF4B652EFC -99EFA14C869444E51D8654FA32C290EA -4539393934463941 -1 -DD46A721E86D2AAFC76F6B9B29B1AEB8 -CF07322E89715EA03401190C15668DFD -4130393938343542 -2 diff --git a/3_SC2_KC1_TC/test_cases/in_dec.txt b/3_SC2_KC1_TC/test_cases/in_dec.txt deleted file mode 100644 index bb6d1d6..0000000 --- a/3_SC2_KC1_TC/test_cases/in_dec.txt +++ /dev/null @@ -1,400 +0,0 @@ -74B7F3B773C71DEFE077DE12D6A35593 -E8AB6A4BC7F7A27FE48CDDEF5925B182 -4332343842383744 -1 -CEC37FF48B084D7A25036D3724FD2089 -43FFAC0BA5DF874C11E9560061053142 -4532343131444337 -1 -5574E49C2E6D93BAF15B4569BE977B13 -93180475E68AD55D18AA7BF8E6B8EA16 -3241453342414141 -1 -56A221D0E0A8F642FF8F75714A3D9709 -3AED633DA2927D025E2F2663A110A077 -4142423038373444 -1 -58C352B3934CC67667AE08C2DF0A7C62 -A5454BB51CCEC74646B6775F7DC63BB3 -3344333038373543 -1 -E3F3D21393E10DA37C3BF721646C4D09 -0878E81465172344774EE2B644FC1C86 -4346453741424335 -1 -B4B239FF54607D7F69F10A80340398EC -DC89FA82E490D51D215C5950C8582309 -3830343241393438 -1 -626A0A02E00215F1462A5D3C81B89549 -A7375336EC761CB6290A17E352C2D3B9 -4532434341343036 -1 -819150CCB755E557EB2AC06AD05B9322 -58D480F0346A39AA8930E89F755894ED -3745303230383635 -1 -E483C73D6CEB4D09DF44B87C74A4EE6B -8BF1ACBFFF44DA435E0ABB8E5FBF0B21 -3237344542433935 -1 -EF32E738F03579FAF25B2F96F6B09F00 -06507CB5256417903326DDEE92F16E5E -4632303934454335 -1 -7356721DB8341A279858D35150D70586 -D2A3DB41BC948E2913F21EAF8B15F706 -4632433334394641 -1 -1E67441467058A582BD8439E619E3A39 -F5F8D6A7E3FB63B0869D947BA49EA8F5 -3539323835413844 -1 -EB9A77B1974F1FDF6F9AB74BA9108C09 -F23F324CE5C922C7706D16DD344964A2 -4238463139333045 -1 -B700A9C6A828B2403B568FC1315523F3 -143351BCC8B9140DA8D251E32986C7B9 -3941393531334645 -1 -7740B6D71B1893753A5ABE68C98BC518 -04B0C3D5EB79E22791BC1FF8070D47B2 -3142314445334237 -1 -424B64264005671549F540914765D883 -80928942B3D9AF0F4A93912A6992F51C -3930423746453438 -1 -8F857180D147A5103C1E0CB29DC4222F -A87407DEF277FF5BD79ACB16C9D164CD -3339414630374543 -1 -6121E926BFA1B000B2F6714FDD0D1AA9 -806329147731A73FA471172F03567D5A -4337444533463530 -1 -9BF9CF0B03CA6F277052FA5C9FE639E0 -4A2324C41E0794D61C2B494CB02E6527 -4432423246434142 -1 -4846106CC28CE4B123886F31753D2F82 -CB1955B3194F52C4AFD1F17EEC5E3689 -4237383635304343 -1 -65DE19311C0EE313F596A1C7B3ECAB51 -847B1AF16F7D23FA68FF1C615F167C09 -3133323345453642 -1 -CF375042B6381AA02035ED3E64D63F3D -FACF12B653A378D4D56A1F289546479B -4131444243364441 -1 -DD019ADB88C17F7B3257E9968A4B4DA6 -BF2F04A9C22305433849670F532F26F3 -4641443538413745 -1 -01257C6D76FC62891C1246555FDA89BB -54FF4F0052FE5EDB3EE104D73B3FFB1C -3842384432344130 -1 -04A930485F01F037D06F75F6EF63ECF9 -1555534BFDC64041037E684AE010CDD5 -4541463932353741 -1 -6818360E391D5D31AFB1C737A18D7FF7 -B4137C95FA1C012B6E227D7DF1096D2C -3841383346443441 -1 -050F9E818D4CADFA249074E4CA9C8C8E -8A0BF6438538D7901E1D5FF7441FCE5B -3542333134433035 -1 -A18BEB048D2A1754D15C3591739D70FB -909D29FCD72AEB0C4A88CFB898C14DC2 -4442324237303031 -1 -635E441878E5B8812DF7992BC828A561 -205FA344ADEA417C8B3EAD823CB900E0 -3230323837383936 -1 -AE80E88BB425862FB9CE8529F8806E21 -42231D7964BB28305757425542257328 -3938314535453146 -1 -CAD04D10CAE1E7C140B865051F83CBE8 -D97B8A8E3EFC4EB6413DF355C053E725 -4131303531434446 -1 -69525430E1D2CEE7CEC26905E875EFBA -0DF57491BFD3205BDF764DB4837B140E -4344324233464441 -1 -3FA9A1851DD6972AFB50AD5A4D60C394 -A2166589A2EFBCDB5449AEB2D0F07DE1 -3337394631434342 -1 -E9EA035E370E04CBE12E89EC9BAC464A -F2829C5EC500CC05F92B63AF77946E04 -3831434241424139 -1 -BE7838EC1A327AA1D8CF4CED8B86C559 -4ECCA2093B34C450A98418448D79215D -4143383437363142 -1 -5B71522AE649979686288E3BA43CB726 -6C2D3BE02AC1A4B951D44C04F1C8240A -4539373733373442 -1 -10532FA4E7275468116E3007763E1D05 -719028DA5D4F17E36CDD32D919B70C5A -3239303235364443 -1 -C0B2FF02B8DE066121734656BC418CF4 -9B604AC659C32066B3F1449F9EA02667 -3131343938393531 -1 -E1B3B965D389A508DCD205AD1EABFA08 -D450B72A24E8971FE006CB9776A48C27 -3538374530343841 -1 -FC268D03CC013D8BE3E7514EBA52454F -78E597C70568ACE8B2B0678DEA2380A9 -4144303837433544 -1 -81473B87C8CC9266A6DC28DED39751D8 -2B6CEDB605C4202DF8FB932500F4F5CE -3731423130394433 -1 -E04072A7F6928B1EC48A6F03093C9756 -EB50DD062993FF528A07F6EAC2DA2288 -4146393231344431 -1 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -49A95DCCE591F7B6B365DF156E64CD64 -3132424530423543 -1 -59B4348C5B44595F84EA933DB0319841 -CD0E8646DC7ABE7932D1A2DE300783CF -4331373336454546 -1 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -97737C253BCAB0A8E2F6E54F4A6A210F -4332383236443131 -1 -9F85DEE42303A2C0E20A04845DDA1E77 -FDA691B5E227EBDB6CDCF78D0BD898DB -4239304131384335 -1 -577B99301E66233A79C742D8BA46D516 -3F4F44F1EA1DB2F85360CB8BD02B08F3 -4536463730384141 -1 -B637940504D7D3ECC7A0E2531BC68C30 -41393A5E4D0C28F9AA44EFFFDCE8FB18 -3038433244383031 -1 -F77FB55266BC98A50BF4870006392D8C -5C522EB0BBF9C350C41D3A839A668366 -3645333343314437 -1 -F7D03A37117A3B2A7878CE0F425E4D32 -9A813101FA8AA04D332E1518A5FEA593 -3833353532373734 -1 -67A36F4686876575A8A75748DFE2F2B1 -AE30BDB35F6D8667CF75112432CBB2B5 -4531324434303436 -1 -284A056F607D4C1145E881A8BDA3DDBB -14A8D81411D39D815FB9A63F3359FF7B -4639364343423233 -1 -70F6C84CE8C382C03C0630B12924335C -CD12201E26B08B8DD3C627165FFB5E2C -3631453842324533 -1 -10FCCA0F5BE22BC6354A9E005CF4DC03 -8FFBCDC992541CDA91946262B9168B67 -3331443142323145 -1 -A0CDA8870E163704906ABD1D910041E6 -661C31AFCE1B2490BFF314FFBF68B74C -3033343841424430 -1 -FAA8948F8061630A58363079ECC9B2FB -321E07F26CF326C263BAC5373996F345 -3241443835433833 -1 -B7B248BFC3ECF06132869DB2599730E4 -7D8212745BDE2CC731123987C06F9844 -3332314141414144 -1 -967DCCB7D1348E71E00ED10F6D075F82 -C4D705DFE31F91FA19046DD6A9E1054C -4132334346444431 -1 -89ADCE4814674F2ADBFC9B64CDCED57E -5AB6E0C568218BCE4890C12EE2161B80 -4531363630344135 -1 -612477F0E91CDF6F10461BD0C5689622 -F8A24C88FD47217590AEBDB5819CB02A -4134323244323832 -1 -36D4A7380437C957153533AC211177A0 -1D4303FD574854E27863A793783D2E33 -4333463037413345 -1 -EBDE1A8949480A91E59742570BE03005 -E2022DB050184D1D11E47EAD1446C36B -3336463338323539 -1 -67DA408078B78AFF66A1ABF4E682DD63 -8CA941F4B96D6F96D3397950BDF4FD5D -4634433645433133 -1 -D9D4FCA7E35BDB0B532E2CEB135AF536 -4CEE8CD3097B212404B923CF98F5FF65 -3737423535353535 -1 -783D965F7DBBF859FB67E16535AC7DBD -7ECC18259D22F871F236FDAB821EFA97 -3233334535464341 -1 -B419FF8713A4361F19F01A473FCAA2B7 -443DFD0AFFFCDEB9B985C444C30B036C -4337464534303033 -1 -A1E91A4B0B12398704F2FC317CCCB456 -75BB0D9EDC0347F153AC1A3B18265A85 -4145454542303246 -1 -0E49ADF21CB47EAD92F5258A1FE67448 -930280AE8FED9CF9044B117BBAFD24D8 -3632363144314430 -1 -43CFF95811FC29B281A25AF605F2D583 -6BE77F0E6F72B89B447D442E0615C8F4 -4538354441413035 -1 -17F5DD00A5ABB282B16D5F708E4752B0 -FCCAC824E226FF76E94ADB856725238B -3743314638443838 -1 -CA0F7E24288C27AEDA326073B525CEF0 -0B526768F18CC6BEA1DEE4D209768D65 -3736363038423543 -1 -046FC4AA9E7A11FEE74E552661EDFA6D -E22C3B009312AA0B6AC504B920F69FCC -4242433234463030 -1 -158D7BD55C81353E2484BD7B311A1D5B -17563FDC3437F8AE0E77C73FB190CA50 -3244374332304435 -1 -A0522FB24582F4455D0F1A74A5F05D80 -4C78134351B83A43FDE58926487A5B6D -4538373534364643 -1 -8AC26BBE0332914E0FDBC6676CB7D37E -E4A5BDC15B1722979A584017A46C3472 -4546323234303038 -1 -5358BD3E6E224E4770A8D40975DB1B70 -4822819356928E698BB10A09AE40D632 -3044363636394241 -1 -DCB2CFD85A08BF3309A67F712AC23E7D -CA453B37661B56C7781E8298862A8E49 -3843364341464242 -1 -ABDAEAF154E8BF56928E02ACA67517AE -99D8F11A269BAA91B22E3DD75B2BFE9A -4245314336334143 -1 -4E01C49EC33635867FD4502D068211D8 -D08F24382B1A6EB77EBBE92F766D2642 -4338313535323834 -1 -E6F56B406E3F2AD7D7EE1C8C83433AC3 -85828CF74F1E4FC159341624A7447588 -3739434134444142 -1 -0899C97A1868352505E1A79EF91FA922 -18FA6C7315817A61C609CB71E8739632 -4536393532413745 -1 -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -C11A5B77366B63A1805AEBE0FD1FC71A -4539393341313934 -1 -BF9284488756CF5C1C20A0D56A354D51 -A18E6496959FFF5002AF3555E83B65B1 -3931303739393844 -1 -2377F78402F5D3080BA44B7268647A08 -CBBC1283D0D6F58AFA52DD5A4DC26C63 -3139383238373437 -1 -006FF77C82BAAA56CF79EB74FC89AFC6 -49F7894D7C34196F6382A6D57EC8297B -4538443641353341 -1 -00ABF6BBC1BC84F34C70C954B8991C75 -8E5A66E4D28344905F0B60B99F56F831 -3742363637363236 -1 -35401817EC8E97EB7C9D1B1694B36D1D -195475683406FADDFFD6EC21A8CFF8D2 -4237463938354438 -1 -3F341A0BCDC2470F597722F56E4D63A3 -F8275BC2997040459C83B10DECECD9E4 -3446423033423738 -1 -B591BA749679BEFDF89933BC0055B8EB -28028B6EEC984A6F5D6AD0824A04211A -4446433445343243 -1 -AC8D24856CC20CA65DF2E724D706EFFA -2778FB3ABEA696ADD38D97063E980C5B -3839444444433430 -1 -A920914E8C6B5D71FB9966DFF47A4521 -B34A9F13E3B59755CFC91ECC6C230B98 -3842324435463839 -1 -2FDC00F6EDDFFC46CE90182E256831CA -B1086871CD1ADAAAF4F5B87C15B511EB -4642453046413542 -1 -3E11F690B138B27D0C9378A0B58EDF64 -3BB92D7AD568BD0D87997441F7C9FC42 -4231303435333042 -1 -9974637B0B2B3ADABDAD4C3AC7C04B41 -8CFCD1B566266D5EB4B1B811624F16F2 -3135453433393734 -1 -081680A1E34562D71BE30FB608207166 -99825C1E7807523BB68972E4289418A7 -3731334637354144 -1 -CD59D9B1E06C499CAD7167F33D3AA2A6 -D533E8E45A1617EFA396239B9C80B3CB -4434363738413342 -1 -80DEA01EBBE9DB5E32C9F65D63D2E849 -75B166321C29942CD3DCA2772F7ED699 -3131324131423139 -1 -E12A5793592ABB5421C08C51C9664632 -97836A0B75FC57D9690AD14B7B530EB6 -3431333539353830 -1 -D2BA67B083C21C7827CF5D3C5495A59C -885EE7764E9C7FE7E1060CA66118D14E -3046464432314236 -1 diff --git a/3_SC2_KC1_TC/test_cases/in_enc.txt b/3_SC2_KC1_TC/test_cases/in_enc.txt deleted file mode 100644 index 8ff9d0c..0000000 --- a/3_SC2_KC1_TC/test_cases/in_enc.txt +++ /dev/null @@ -1,400 +0,0 @@ -36414533334639413632443731383341 -00363933314641433944414232423336 -4332343842383744 -0 -30394531313143374531453741434236 -00443537383945344232443642343431 -4532343131444337 -0 -42393136354343343538453139394342 -00384341433042423246433443384243 -3241453342414141 -0 -46334538434234353433413545423933 -00394635314231333546373039314135 -4142423038373444 -0 -42463239414244354231414346333839 -00303434314539434134433242304642 -3344333038373543 -0 -43363142414135324530353342344333 -00344233354145383832383039444434 -4346453741424335 -0 -41414335453838344342334543374442 -00343346323034454632353944324539 -3830343241393438 -0 -30333543423237343443423930413633 -00323536343346443334464444343637 -4532434341343036 -0 -34314534433438413939363330414139 -00353143393733373930333334333934 -3745303230383635 -0 -35463835393245333041323230354134 -00454345313533383433413442313930 -3237344542433935 -0 -39453739333144433635304337343531 -00353834363234383938373535304141 -4632303934454335 -0 -46463736334337463844313444444646 -00433631433043423243343641314233 -4632433334394641 -0 -37393135363134463541324143394530 -00343633353137343433373844363243 -3539323835413844 -0 -45333841433741394432333943394230 -00363841434136323438413932323741 -4238463139333045 -0 -35323731433332453943323144313536 -00364134383145343944353331363146 -3941393531334645 -0 -46443943454532443943434137423443 -00423946314245413537463641453446 -3142314445334237 -0 -41313331433744454246424535383136 -00343244323643333144303030423746 -3930423746453438 -0 -36394142314231374438444236323134 -00323636444535364531454446323639 -3339414630374543 -0 -37324244344431384333414542363443 -00463232323842353135353143334432 -4337444533463530 -0 -30344142414538363736453331384234 -00394538434241383338363637423645 -4432423246434142 -0 -36414638324243334437414138303542 -00324137443135423330443244374444 -4237383635304343 -0 -35313644314238314535463730394332 -00324444394141353233423733373441 -3133323345453642 -0 -42433242354544323637323434433435 -00373930454441463143334641394230 -4131444243364441 -0 -31393336353742444530464530323931 -00373532303032423130364436333831 -4641443538413745 -0 -34394535423836443835354243464544 -00304638333739333136383931463832 -3842384432344130 -0 -42433637423435314243373042304535 -00363736354639444131414335344341 -4541463932353741 -0 -39434134433839453141364537373946 -00383137444431423730344136423431 -3841383346443441 -0 -37363345444344353936333234323343 -00443945394446313837343735393145 -3542333134433035 -0 -36343341363736304639463044443644 -00383346313444364630373344373834 -4442324237303031 -0 -32383646354343334144444636433141 -00443041353945323431444331454437 -3230323837383936 -0 -42464531424642384532364235424139 -00463645443335463437374230303232 -3938314535453146 -0 -45334233463544323732354139413538 -00323533323735424636413434423346 -4131303531434446 -0 -31323441434133433930313430364132 -00464435423034353235423331383246 -4344324233464441 -0 -43444643333946454534414343353532 -00353543443842393544343844313345 -3337394631434342 -0 -46343543414145443438443036424642 -00414130424445463537453633413146 -3831434241424139 -0 -46454235394130433831333034393038 -00443136383336303432424544353743 -4143383437363142 -0 -39374244304236423744333842373336 -00423738314534424244463233304432 -4539373733373442 -0 -33303445383735433944344233464232 -00323838323641304632373239424532 -3239303235364443 -0 -37414341393744414132343835313831 -00323541453344304344333133304436 -3131343938393531 -0 -36443242454143443646453230364632 -00423331433037443243364135424343 -3538374530343841 -0 -43344643414545433341333433374134 -00354337303834363142343146444235 -4144303837433544 -0 -33313736383141423046434246333143 -00453531423036354436453433333246 -3731423130394433 -0 -33414333444446364330334633453938 -00463143323342413436423446393833 -4146393231344431 -0 -42384246363437423933303638374543 -00344334443437373431413535373638 -3132424530423543 -0 -31453539363335454236434244324337 -00383144463736313931463943343638 -4331373336454546 -0 -34444331463838323439323446413037 -00423030303638433846464544454445 -4332383236443131 -0 -31463931394138334145393830453235 -00303536453235413544444241454345 -4239304131384335 -0 -36343236323543453443343239384146 -00463036444434383634323744464445 -4536463730384141 -0 -35343345444545414235343739433133 -00443741433438414138314230433536 -3038433244383031 -0 -36314546444632323131363035314531 -00324534453441454337313946314134 -3645333343314437 -0 -38453444463245373238453344414146 -00464137313443373246414530373536 -3833353532373734 -0 -39323936443335363733303237463545 -00344539423346333734383943304434 -4531324434303436 -0 -46323536324134363842363530413137 -00443730443534423636384137433030 -4639364343423233 -0 -45343945454135463337373939464534 -00393138363238354245313839334238 -3631453842324533 -0 -39383832383637353633433942433234 -00464337333846393945313430463845 -3331443142323145 -0 -46413941364246304538413039333542 -00333941323139353236364438374232 -3033343841424430 -0 -34333344363838303330323634363942 -00423832333334333738423134383133 -3241443835433833 -0 -41433445324439303235334632384539 -00344332444435463733384346304634 -3332314141414144 -0 -32303035384645343534464338453746 -00363542373446413630343041454535 -4132334346444431 -0 -38393231373930363446464544443343 -00424639414445344631314645453031 -4531363630344135 -0 -31373045343342323736463932443946 -00344244324345304434364438313231 -4134323244323832 -0 -30323833303132313146423245364531 -00304341314642423344443146353330 -4333463037413345 -0 -31304346374130413533443638303431 -00423232313533443932453043314543 -3336463338323539 -0 -46454138454539303042453342383931 -00323145333041363641414543463844 -4634433645433133 -0 -30333842433243453543443834384130 -00333045323842324337384134394433 -3737423535353535 -0 -43393230324331324642364233444533 -00324134433837334633393538454138 -3233334535464341 -0 -43364546334332343441434144443232 -00373838363230383533364133323546 -4337464534303033 -0 -42453938424241433337464439323433 -00384433413232363932344432343045 -4145454542303246 -0 -38444532463235334135393736454636 -00383036443135374633354133373945 -3632363144314430 -0 -30394336384243393044454337324533 -00343035434133324341334142314133 -4538354441413035 -0 -41373431393235353332433641363642 -00314437323841303030444241443041 -3743314638443838 -0 -44313238373833454339464546413631 -00343631313642383243374141303234 -3736363038423543 -0 -38464537413538313334323133373638 -00454331454535353542354436413934 -4242433234463030 -0 -34463637374346413735334334314345 -00423539354644304139324538334630 -3244374332304435 -0 -42323846333545453442313637344130 -00464433324333343942314342453146 -4538373534364643 -0 -42314532353833353446464544393633 -00424341414541383631444137433633 -4546323234303038 -0 -38413836344539453137303043354446 -00334532463435443337463738464633 -3044363636394241 -0 -37424233313832453539333230424634 -00443735433638443445334137453430 -3843364341464242 -0 -44444544394431334646344631363746 -00324531303231384537353837303345 -4245314336334143 -0 -31443332334231303738353432374341 -00433734434132383833344537464134 -4338313535323834 -0 -35464637374231324339344243413738 -00303832463336434237313139413541 -3739434134444142 -0 -33423930353138364131304446343737 -00393941334534413730344244453732 -4536393532413745 -0 -32393132443839313342324435353435 -00423231393933373043433236333039 -4539393341313934 -0 -35424141304546324636393444413744 -00313737343731333041364243304645 -3931303739393844 -0 -44444241383238443030454438443431 -00443831443846373930453239364645 -3139383238373437 -0 -36313845454330434634333837383234 -00323437393335414445433535304333 -4538443641353341 -0 -41354532443136343030304636464434 -00463943463646444543333832363338 -3742363637363236 -0 -35443742433446373543354342414136 -00304344374635454143343232363844 -4237463938354438 -0 -37433432374638444530363331433438 -00463839353036313735414641373730 -3446423033423738 -0 -35384636353445363236323836453134 -00453843354643394537393232314139 -4446433445343243 -0 -32363838353944423433333034354134 -00423638433132393045454532304137 -3839444444433430 -0 -32434137313442354345423441383430 -00383236384344303642453337323339 -3842324435463839 -0 -38304632393433433637374245423831 -00323441343734443336413835333137 -4642453046413542 -0 -41373639324541333134393646374235 -00323936354244343643353636413145 -4231303435333042 -0 -44314243383631423644343041423638 -00304239414437354537393342414535 -3135453433393734 -0 -32454543393434433346394438433837 -00464244393933424531463241363637 -3731334637354144 -0 -39433832393039373732463531464132 -00373938444630353133343838463641 -4434363738413342 -0 -44314546303831333643463444354632 -00454242443136423543324437353830 -3131324131423139 -0 -31373446433331453431364139463132 -00314638363839373942324137333334 -3431333539353830 -0 -32313042313144433539454339343742 -00334332373036423141304246394230 -3046464432314236 -0 diff --git a/3_SC2_KC1_TC/test_cases/in_rec.txt b/3_SC2_KC1_TC/test_cases/in_rec.txt deleted file mode 100644 index 70dc296..0000000 --- a/3_SC2_KC1_TC/test_cases/in_rec.txt +++ /dev/null @@ -1,400 +0,0 @@ -74B7F3B773C71DEFE077DE12D6A35593 -E8AB6A4BC7F7A27FE48CDDEF5925B182 -4332343842383744 -2 -CEC37FF48B084D7A25036D3724FD2089 -43FFAC0BA5DF874C11E9560061053142 -4532343131444337 -2 -5574E49C2E6D93BAF15B4569BE977B13 -93180475E68AD55D18AA7BF8E6B8EA16 -3241453342414141 -2 -56A221D0E0A8F642FF8F75714A3D9709 -3AED633DA2927D025E2F2663A110A077 -4142423038373444 -2 -58C352B3934CC67667AE08C2DF0A7C62 -A5454BB51CCEC74646B6775F7DC63BB3 -3344333038373543 -2 -E3F3D21393E10DA37C3BF721646C4D09 -0878E81465172344774EE2B644FC1C86 -4346453741424335 -2 -B4B239FF54607D7F69F10A80340398EC -DC89FA82E490D51D215C5950C8582309 -3830343241393438 -2 -626A0A02E00215F1462A5D3C81B89549 -A7375336EC761CB6290A17E352C2D3B9 -4532434341343036 -2 -819150CCB755E557EB2AC06AD05B9322 -58D480F0346A39AA8930E89F755894ED -3745303230383635 -2 -E483C73D6CEB4D09DF44B87C74A4EE6B -8BF1ACBFFF44DA435E0ABB8E5FBF0B21 -3237344542433935 -2 -EF32E738F03579FAF25B2F96F6B09F00 -06507CB5256417903326DDEE92F16E5E -4632303934454335 -2 -7356721DB8341A279858D35150D70586 -D2A3DB41BC948E2913F21EAF8B15F706 -4632433334394641 -2 -1E67441467058A582BD8439E619E3A39 -F5F8D6A7E3FB63B0869D947BA49EA8F5 -3539323835413844 -2 -EB9A77B1974F1FDF6F9AB74BA9108C09 -F23F324CE5C922C7706D16DD344964A2 -4238463139333045 -2 -B700A9C6A828B2403B568FC1315523F3 -143351BCC8B9140DA8D251E32986C7B9 -3941393531334645 -2 -7740B6D71B1893753A5ABE68C98BC518 -04B0C3D5EB79E22791BC1FF8070D47B2 -3142314445334237 -2 -424B64264005671549F540914765D883 -80928942B3D9AF0F4A93912A6992F51C -3930423746453438 -2 -8F857180D147A5103C1E0CB29DC4222F -A87407DEF277FF5BD79ACB16C9D164CD -3339414630374543 -2 -6121E926BFA1B000B2F6714FDD0D1AA9 -806329147731A73FA471172F03567D5A -4337444533463530 -2 -9BF9CF0B03CA6F277052FA5C9FE639E0 -4A2324C41E0794D61C2B494CB02E6527 -4432423246434142 -2 -4846106CC28CE4B123886F31753D2F82 -CB1955B3194F52C4AFD1F17EEC5E3689 -4237383635304343 -2 -65DE19311C0EE313F596A1C7B3ECAB51 -847B1AF16F7D23FA68FF1C615F167C09 -3133323345453642 -2 -CF375042B6381AA02035ED3E64D63F3D -FACF12B653A378D4D56A1F289546479B -4131444243364441 -2 -DD019ADB88C17F7B3257E9968A4B4DA6 -BF2F04A9C22305433849670F532F26F3 -4641443538413745 -2 -01257C6D76FC62891C1246555FDA89BB -54FF4F0052FE5EDB3EE104D73B3FFB1C -3842384432344130 -2 -04A930485F01F037D06F75F6EF63ECF9 -1555534BFDC64041037E684AE010CDD5 -4541463932353741 -2 -6818360E391D5D31AFB1C737A18D7FF7 -B4137C95FA1C012B6E227D7DF1096D2C -3841383346443441 -2 -050F9E818D4CADFA249074E4CA9C8C8E -8A0BF6438538D7901E1D5FF7441FCE5B -3542333134433035 -2 -A18BEB048D2A1754D15C3591739D70FB -909D29FCD72AEB0C4A88CFB898C14DC2 -4442324237303031 -2 -635E441878E5B8812DF7992BC828A561 -205FA344ADEA417C8B3EAD823CB900E0 -3230323837383936 -2 -AE80E88BB425862FB9CE8529F8806E21 -42231D7964BB28305757425542257328 -3938314535453146 -2 -CAD04D10CAE1E7C140B865051F83CBE8 -D97B8A8E3EFC4EB6413DF355C053E725 -4131303531434446 -2 -69525430E1D2CEE7CEC26905E875EFBA -0DF57491BFD3205BDF764DB4837B140E -4344324233464441 -2 -3FA9A1851DD6972AFB50AD5A4D60C394 -A2166589A2EFBCDB5449AEB2D0F07DE1 -3337394631434342 -2 -E9EA035E370E04CBE12E89EC9BAC464A -F2829C5EC500CC05F92B63AF77946E04 -3831434241424139 -2 -BE7838EC1A327AA1D8CF4CED8B86C559 -4ECCA2093B34C450A98418448D79215D -4143383437363142 -2 -5B71522AE649979686288E3BA43CB726 -6C2D3BE02AC1A4B951D44C04F1C8240A -4539373733373442 -2 -10532FA4E7275468116E3007763E1D05 -719028DA5D4F17E36CDD32D919B70C5A -3239303235364443 -2 -C0B2FF02B8DE066121734656BC418CF4 -9B604AC659C32066B3F1449F9EA02667 -3131343938393531 -2 -E1B3B965D389A508DCD205AD1EABFA08 -D450B72A24E8971FE006CB9776A48C27 -3538374530343841 -2 -FC268D03CC013D8BE3E7514EBA52454F -78E597C70568ACE8B2B0678DEA2380A9 -4144303837433544 -2 -81473B87C8CC9266A6DC28DED39751D8 -2B6CEDB605C4202DF8FB932500F4F5CE -3731423130394433 -2 -E04072A7F6928B1EC48A6F03093C9756 -EB50DD062993FF528A07F6EAC2DA2288 -4146393231344431 -2 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -49A95DCCE591F7B6B365DF156E64CD64 -3132424530423543 -2 -59B4348C5B44595F84EA933DB0319841 -CD0E8646DC7ABE7932D1A2DE300783CF -4331373336454546 -2 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -97737C253BCAB0A8E2F6E54F4A6A210F -4332383236443131 -2 -9F85DEE42303A2C0E20A04845DDA1E77 -FDA691B5E227EBDB6CDCF78D0BD898DB -4239304131384335 -2 -577B99301E66233A79C742D8BA46D516 -3F4F44F1EA1DB2F85360CB8BD02B08F3 -4536463730384141 -2 -B637940504D7D3ECC7A0E2531BC68C30 -41393A5E4D0C28F9AA44EFFFDCE8FB18 -3038433244383031 -2 -F77FB55266BC98A50BF4870006392D8C -5C522EB0BBF9C350C41D3A839A668366 -3645333343314437 -2 -F7D03A37117A3B2A7878CE0F425E4D32 -9A813101FA8AA04D332E1518A5FEA593 -3833353532373734 -2 -67A36F4686876575A8A75748DFE2F2B1 -AE30BDB35F6D8667CF75112432CBB2B5 -4531324434303436 -2 -284A056F607D4C1145E881A8BDA3DDBB -14A8D81411D39D815FB9A63F3359FF7B -4639364343423233 -2 -70F6C84CE8C382C03C0630B12924335C -CD12201E26B08B8DD3C627165FFB5E2C -3631453842324533 -2 -10FCCA0F5BE22BC6354A9E005CF4DC03 -8FFBCDC992541CDA91946262B9168B67 -3331443142323145 -2 -A0CDA8870E163704906ABD1D910041E6 -661C31AFCE1B2490BFF314FFBF68B74C -3033343841424430 -2 -FAA8948F8061630A58363079ECC9B2FB -321E07F26CF326C263BAC5373996F345 -3241443835433833 -2 -B7B248BFC3ECF06132869DB2599730E4 -7D8212745BDE2CC731123987C06F9844 -3332314141414144 -2 -967DCCB7D1348E71E00ED10F6D075F82 -C4D705DFE31F91FA19046DD6A9E1054C -4132334346444431 -2 -89ADCE4814674F2ADBFC9B64CDCED57E -5AB6E0C568218BCE4890C12EE2161B80 -4531363630344135 -2 -612477F0E91CDF6F10461BD0C5689622 -F8A24C88FD47217590AEBDB5819CB02A -4134323244323832 -2 -36D4A7380437C957153533AC211177A0 -1D4303FD574854E27863A793783D2E33 -4333463037413345 -2 -EBDE1A8949480A91E59742570BE03005 -E2022DB050184D1D11E47EAD1446C36B -3336463338323539 -2 -67DA408078B78AFF66A1ABF4E682DD63 -8CA941F4B96D6F96D3397950BDF4FD5D -4634433645433133 -2 -D9D4FCA7E35BDB0B532E2CEB135AF536 -4CEE8CD3097B212404B923CF98F5FF65 -3737423535353535 -2 -783D965F7DBBF859FB67E16535AC7DBD -7ECC18259D22F871F236FDAB821EFA97 -3233334535464341 -2 -B419FF8713A4361F19F01A473FCAA2B7 -443DFD0AFFFCDEB9B985C444C30B036C -4337464534303033 -2 -A1E91A4B0B12398704F2FC317CCCB456 -75BB0D9EDC0347F153AC1A3B18265A85 -4145454542303246 -2 -0E49ADF21CB47EAD92F5258A1FE67448 -930280AE8FED9CF9044B117BBAFD24D8 -3632363144314430 -2 -43CFF95811FC29B281A25AF605F2D583 -6BE77F0E6F72B89B447D442E0615C8F4 -4538354441413035 -2 -17F5DD00A5ABB282B16D5F708E4752B0 -FCCAC824E226FF76E94ADB856725238B -3743314638443838 -2 -CA0F7E24288C27AEDA326073B525CEF0 -0B526768F18CC6BEA1DEE4D209768D65 -3736363038423543 -2 -046FC4AA9E7A11FEE74E552661EDFA6D -E22C3B009312AA0B6AC504B920F69FCC -4242433234463030 -2 -158D7BD55C81353E2484BD7B311A1D5B -17563FDC3437F8AE0E77C73FB190CA50 -3244374332304435 -2 -A0522FB24582F4455D0F1A74A5F05D80 -4C78134351B83A43FDE58926487A5B6D -4538373534364643 -2 -8AC26BBE0332914E0FDBC6676CB7D37E -E4A5BDC15B1722979A584017A46C3472 -4546323234303038 -2 -5358BD3E6E224E4770A8D40975DB1B70 -4822819356928E698BB10A09AE40D632 -3044363636394241 -2 -DCB2CFD85A08BF3309A67F712AC23E7D -CA453B37661B56C7781E8298862A8E49 -3843364341464242 -2 -ABDAEAF154E8BF56928E02ACA67517AE -99D8F11A269BAA91B22E3DD75B2BFE9A -4245314336334143 -2 -4E01C49EC33635867FD4502D068211D8 -D08F24382B1A6EB77EBBE92F766D2642 -4338313535323834 -2 -E6F56B406E3F2AD7D7EE1C8C83433AC3 -85828CF74F1E4FC159341624A7447588 -3739434134444142 -2 -0899C97A1868352505E1A79EF91FA922 -18FA6C7315817A61C609CB71E8739632 -4536393532413745 -2 -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -C11A5B77366B63A1805AEBE0FD1FC71A -4539393341313934 -2 -BF9284488756CF5C1C20A0D56A354D51 -A18E6496959FFF5002AF3555E83B65B1 -3931303739393844 -2 -2377F78402F5D3080BA44B7268647A08 -CBBC1283D0D6F58AFA52DD5A4DC26C63 -3139383238373437 -2 -006FF77C82BAAA56CF79EB74FC89AFC6 -49F7894D7C34196F6382A6D57EC8297B -4538443641353341 -2 -00ABF6BBC1BC84F34C70C954B8991C75 -8E5A66E4D28344905F0B60B99F56F831 -3742363637363236 -2 -35401817EC8E97EB7C9D1B1694B36D1D -195475683406FADDFFD6EC21A8CFF8D2 -4237463938354438 -2 -3F341A0BCDC2470F597722F56E4D63A3 -F8275BC2997040459C83B10DECECD9E4 -3446423033423738 -2 -B591BA749679BEFDF89933BC0055B8EB -28028B6EEC984A6F5D6AD0824A04211A -4446433445343243 -2 -AC8D24856CC20CA65DF2E724D706EFFA -2778FB3ABEA696ADD38D97063E980C5B -3839444444433430 -2 -A920914E8C6B5D71FB9966DFF47A4521 -B34A9F13E3B59755CFC91ECC6C230B98 -3842324435463839 -2 -2FDC00F6EDDFFC46CE90182E256831CA -B1086871CD1ADAAAF4F5B87C15B511EB -4642453046413542 -2 -3E11F690B138B27D0C9378A0B58EDF64 -3BB92D7AD568BD0D87997441F7C9FC42 -4231303435333042 -2 -9974637B0B2B3ADABDAD4C3AC7C04B41 -8CFCD1B566266D5EB4B1B811624F16F2 -3135453433393734 -2 -081680A1E34562D71BE30FB608207166 -99825C1E7807523BB68972E4289418A7 -3731334637354144 -2 -CD59D9B1E06C499CAD7167F33D3AA2A6 -D533E8E45A1617EFA396239B9C80B3CB -4434363738413342 -2 -80DEA01EBBE9DB5E32C9F65D63D2E849 -75B166321C29942CD3DCA2772F7ED699 -3131324131423139 -2 -E12A5793592ABB5421C08C51C9664632 -97836A0B75FC57D9690AD14B7B530EB6 -3431333539353830 -2 -D2BA67B083C21C7827CF5D3C5495A59C -885EE7764E9C7FE7E1060CA66118D14E -3046464432314236 -2 diff --git a/3_SC2_KC1_TC/test_cases/out_avg.txt b/3_SC2_KC1_TC/test_cases/out_avg.txt deleted file mode 100644 index 6fcece2..0000000 --- a/3_SC2_KC1_TC/test_cases/out_avg.txt +++ /dev/null @@ -1,1336 +0,0 @@ -776FD514CFE2899A065CCDA54C44E565 -7B30EE7CBC93B0B15ECF2A742A389BA3 -AD40A896B1C7EAA052B1A70BD645DB66 -7B30EE7CBC93B0B15ECF2A742A389BA3 -74B7F3B773C71DEFE077DE12D6A35593 -B251A559D0117610D34D417748C682C4 -30394531313143374531453741434236 -45A776E8972910CCC00E50ADC1A1A188 -56A221D0E0A8F642FF8F75714A3D9709 -4361B4FF5F3C1FF0AFB33E06AD9A40EC -42463239414244354231414346333839 -C964665977906317E5E467660D08F75D -B4B239FF54607D7F69F10A80340398EC -1E8D9EE8D96E6B559F983BDFD9A9DE19 -30333543423237343443423930413633 -B6B0CBA515C582C7825D3E6DBB84973D -E483C73D6CEB4D09DF44B87C74A4EE6B -2588E72AE70898DEE4409733F89CA04F -39453739333144433635304337343531 -131EAB7663B6893DA8326DE5612B0B6D -1E67441467058A582BD8439E619E3A39 -CADB8AA9A89480ED37A44A56B443CF1B -45333841433741394432333943394230 -BEA843940F357EDE34B0033892B2A299 -7740B6D71B1893753A5ABE68C98BC518 -8078AC399917ED9D16238310D78C1C46 -41313331433744454246424535383136 -A18937D9865106AD4C24A94DA1321A8D -6121E926BFA1B000B2F6714FDD0D1AA9 -F590325BCE52AAA395F10A26E352FCA6 -30344142414538363736453331384234 -BE7DE1F366A9B674A7D43413F799478F -65DE19311C0EE313F596A1C7B3ECAB51 -E1BBBAAE0D7ABD7A0B0C9E8E9E566AC4 -42433242354544323637323434433435 -E00648FB80058EC86D849802CD3A6661 -01257C6D76FC62891C1246555FDA89BB -0161FA63BE191D740C8A42F819050AA2 -42433637423435314243373042304535 -1B6FDABA78AB6F21E84D5FD3CDDBC529 -050F9E818D4CADFA249074E4CA9C8C8E -466230E1C17379830EDA6EA5D6B5BBBA -36343341363736304639463044443644 -5D10D91C59568598D10F5AD6B9F4F198 -AE80E88BB425862FB9CE8529F8806E21 -39C6E6E9075154632599B5237D12E9CE -45334233463544323732354139413538 -C354E77544728AEAAD3EA08F5FDFA5A5 -3FA9A1851DD6972AFB50AD5A4D60C394 -5C976EE8230833DCE51912E74626BCFE -46343543414145443438443036424642 -89156EBF7CFF1D157706C99C25C81CFE -5B71522AE649979686288E3BA43CB726 -4FD26C8CE597783D99ABC1F00C0E8535 -33303445383735433944344233464232 -0A943773AAFECC523A3B0929CF9AE143 -E1B3B965D389A508DCD205AD1EABFA08 -BA83BBB81D44F1EB97A70DE3D0FCBECA -43344643414545433341333433374134 -CF6132CF7618534D3B13AA2AF32AD7E9 -E04072A7F6928B1EC48A6F03093C9756 -556A990982F8D8F1C0C27BB33F563412 -42384246363437423933303638374543 -5BD4C775EC3E2029B1BC99DC9F526AA0 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -CF6C30410A6EAB22D737E3CF22785800 -31463931394138334145393830453235 -6477E23D383B2017AC8E9AFACBD16870 -B637940504D7D3ECC7A0E2531BC68C30 -33A44FF148549BD15F946726D885125C -36314546444632323131363035314531 -E8626997343F57F001EF7E6F4D20E6FA -67A36F4686876575A8A75748DFE2F2B1 -F4DFA2B41DE5ADA2D859171725A76A8F -46323536324134363842363530413137 -B73E4B831FAB1E3AFD1A20F15F538504 -10FCCA0F5BE22BC6354A9E005CF4DC03 -E6E5479C74C74CB8032428CD7860B8DE -46413941364246304538413039333542 -2EA9D091B791BDFB8E618C0E845BF60D -B7B248BFC3ECF06132869DB2599730E4 -007403A85E9FDB8F023FDB3646A7F828 -32303035384645343534464338453746 -7211F2F76184B74D5913DF530B387C6D -612477F0E91CDF6F10461BD0C5689622 -A852B092F6297D3BEEB662602B511595 -30323833303132313146423245364531 -96A23158F3CC8432EE91DC7F05CC3367 -67DA408078B78AFF66A1ABF4E682DD63 -9D330A50F04A40EF14BC810DAF1C036F -30333842433243453543443834384130 -2546A8D7522971D20C62A99C0156F304 -B419FF8713A4361F19F01A473FCAA2B7 -4B0A6462696998084B27A37BBFC46745 -42453938424241433337464439323433 -600C7C23FCBC4360800912B21DBB39B2 -43CFF95811FC29B281A25AF605F2D583 -CF6B50656729E69DD3333D9B6C35B111 -41373431393235353332433641363642 -77E284F2A607A8C74E6A64A15F0AFB97 -046FC4AA9E7A11FEE74E552661EDFA6D -25174008D453F7DDBF5EE50B09ADA224 -34463637374346413735334334314345 -C614A33A55E903563AECCA11D4A503C1 -8AC26BBE0332914E0FDBC6676CB7D37E -C3420EE25DED5188D5C722AD8CFFE902 -38413836344539453137303043354446 -41773CAE5CB62F59946123A15561325D -ABDAEAF154E8BF56928E02ACA67517AE -65501B1CA568FAE20ABE212052DEFFB0 -31443332334231303738353432374341 -46A1710FA77541E348C8BDAC2574BF20 -0899C97A1868352505E1A79EF91FA922 -801F60AB8A7EC1DD078AA83F34C17B3C -32393132443839313342324435353435 -A62A14E491FD529742A92BAE09259C05 -2377F78402F5D3080BA44B7268647A08 -1FCCD383CBC9350FA95EF56FE7B8F6A6 -36313845454330434634333837383234 -CB78A9C3CF989064D53DABE3EC66A302 -35401817EC8E97EB7C9D1B1694B36D1D -4202CBA8765B32B9D0B7D8F8B313A732 -37433432374638444530363331433438 -9EFCA418C029D45A69F85CF2A53EBBE2 -AC8D24856CC20CA65DF2E724D706EFFA -BCA16B26A399D4E7565C448F19DCD4AD -32434137313442354345423441383430 -DDFDEC9D1C2D151A95CAA84750FF5CE3 -3E11F690B138B27D0C9378A0B58EDF64 -190F9597712730EFC079999989CE3370 -44314243383631423644343041423638 -6368DD9930D6A8174023C2119FD7D419 -CD59D9B1E06C499CAD7167F33D3AA2A6 -02AF2FBEA4B58FF8C6E79C19BB14A47D -44314546303831333643463444354632 -2604BCBD99D8DF10BC966395B554E509 -D2BA67B083C21C7827CF5D3C5495A59C -1DC6A4F5077B36B786AE988DA315904C -32364142413236394143364244344344 -4BB1202B3A610F758F7E53C94F3D1605 -FF401167883B0717E2FFB2B733F35D0F -5275FD03AF52249C63209239B010E782 -34393933434442353330443631424237 -139C963DE6BCB843AC3DF3AC47879881 -C6D58E628D23109265651ACC2415EDA2 -A30921873A80EB138B9743BD59755F12 -32353634464346334631443944383343 -74AE4CE5C38F716D8AD4ADDA5A8FA3CE -1810DDF2D772862E9C415812A9941798 -3C133B9D2283DB115987DDAD372E5F1D -30303332363644303742413734323641 -5232957FF6148B1FC2D2A2ED1A5C1AB4 -3239D229532763E1D7972A6DA2AF9463 -A17FAFA9D0D0C3B107ED81731C968CD8 -46393139363141424138434531354139 -66AF88FCF4AD02549785B43A3B799C6F -C7181256B45CFA0CB0796D9645EB1619 -B5C369DF8CC37965E4E0C6CE6AEAFF18 -36353446313733373036303033433537 -70101757A4A2DBE9BCF85067629B68F7 -09C0360BED95A7C44B1A7FC406C860DB -9DDF0EB9FAD46C90DD0453310A2A239A -35444632374530314435343133443030 -6817D4EE61AC4037B1F0A0F7C24E32ED -0A60DE99B078EB9148E6DC19BFD79E4E -680C4DED9881AD69CA0BC8A0987863B0 -46453939394146374532424230314441 -539AC150D488200A2CFDB3E8FCBF1F7E -9B0219B32F93A97859C9670EED45B89C -A020706CB0E05245B2ACBA8A6F94EF2E -31423841343239414634463132353734 -2AF5FD3F5E4F35352B90CEEEF8CA4D3C -71872DE9510495BD73B805D815883C1B -B0EA853551433BF8423D0E2C171856C3 -37373444303237344135313133414130 -A69059E326A32663B686250055479A8C -7742321A85FE96D8DFEFDC2E30CA2C60 -29F7282F525F024599BA5FB912ACBC89 -31433644303530383536413937463742 -D0A7BC9F4E6BE91ED4BEE50465027F5A -5EEADE7E22A5492CE010B9A185726CF5 -6A60D904CA566A63B2DD1EBB794AF8EE -41373239373133413037303243433530 -3CFF004C8E0F5E90D612284995D2D236 -5D71AF803FA0A9EC15EF138E21EC96F8 -7D6669E5CBEE4408D42BD7D3EC84BF82 -38444342444243303745373037423445 -E86C86025CBF1EBCA24CBF6C0DE384D1 -815F6C4A1B7D3E2FD5B18809451AD6EA -397F58045C3F392044028E2A27C547CC -38413530384343313137323433354434 -39F21E6486B7D2152EC9D079B92C4AC4 -74A1706D68752F0522E9E3798FE8F901 -E1719AC4D04108959AB76644606E471F -38424337394334333745454632363735 -3DB1B3B9C20949FEF371E4D8DDF3E8B4 -C9FFE4FE2CB1D5DFBABE2AB3DA5B4E8C -12A8E99BED327C9256B4BE1CE3B64B1B -45313234413135323533354537444542 -357FF56A97987E9E5BADEFB62854C54F -EAE203A743817EEF0068D59829DE3A2F -449B70EF342B53E44A46A0FBC20288B7 -37453935354633344346353045343233 -2A704221622E6267735BA0DC336791B8 -0F83C95DB0EEBE76C7871DAF0E63EC66 -0D227740552DD4C1DE29BC86E0CECF7B -46384242343446383937443938463839 -C8DFF1FFF3063A3E8659BC039CBBE726 -4C47DB95CD975764C784983FA8FF3DA6 -BC199D722924A3A9B155C38D16D05F67 -30303142383542343535324136364133 -B36135196B385C75B7EC1ED81D5F2B57 -BF23F1173DBD7AEDF17B8C2C9382B669 -F980124676849533FD56937BA08D97FC -44374138353839313332334142414134 -E0CF3E5541DC6CA4351341BCC6FC60B2 -EB2C1EC2B950F6CCB930696CCF9C940D -6D86B47B8D579E97ABE366A426E9D72E -36413533413546353936383234463033 -ED15D998F79F660DB478F1481B4DB5F9 -6F870DDF9CC846375D3914CC8E918F30 -E9A5D31F680D70A5EA25F050AB450303 -36343835383035363244384341453744 -05BE5313ACECCF0AFC2410064EA69633 -2C1C190697C02DC76E0F9B8EFE553DA5 -2807A7205DEC36430117DDCF93FF4FBE -46384138333631454543453444364634 -FD639F3F963ED8151ACAA910AC03F84E -40154AFE552314FBD2DAB81C71275FC8 -01BED08093454618471DAA147D8AA372 -34314346453233304544344237343430 -C9F29C23634A9D8DFB50C3F566258F82 -2D82AA3EFE7D1A034AE0D30E0592C4F2 -793D8E5D61A32BF2FA4C6567443BC0E9 -34333531433335323137383041443430 -0576A04DBAE7EEBA293E69D5A8FF0BCE -97BB188E8AAA2C426BDAC97F619215B8 -F91D52FC6406C5D13EA264D29D79C7BF -33323144433830394346303442454637 -16F77A125F7332D916F685BA1497D575 -26566F753D400D70F4B6CC2FF25DF25E -110ACF34F7FB90001CDB4F61F92859DC -44434130303639363243363643383845 -7B14FBF987B7F851340B01F1F164E0CE -5A318BA94E5A8A589C11DF27C054FC08 -085B5382DC124D0D48B8C8B1716DDCD2 -34413635324442373045423343423238 -712ED4613869C69A799751EE4AD551BA -0E6A91788A116CCDF016E20023A76954 -BF7C3533430F3D14A536926D4D137E63 -32413137353839354646314132323745 -D0BBAB7E4A792B8CA597D6EDE69BC7E9 -E7BFF3853EE545F595877C7E7DF98FBC -19A5540E8DAA400335D54D2BBB4C772C -45443337443339383935364541434534 -E25C23D9AA43C621ECC917A3AAA174A5 -5B73DD628624ED8B2641FA3D914B64C7 -AFD0A0225C29CB0E3C0E27718FE6AF85 -45433145304530443045464643354142 -3F5D16DB93DA0C801945E8427407E485 -A7558BC0B488A1B1292881AFBDA99C0B -7091A37EDEE923C4D2FC13CBB56A2450 -42363934334336373543344432374432 -C85D2A0C811846E0323A9DA4A8C2077B -4611C2EAEABBC8BA9CA5998D278AA0A6 -485B6519A4E01B867719D1715F465F85 -30364132333643374538413634303344 -9E825DD20945D32DC4474922AA8D17E6 -97B9629ED1BF722C7531236F1ACD5FC6 -B05A691CCC61EFCB2A724A3DA38AD3F4 -41334545374143313034393635453034 -203E238D42A869DE131059C27547C2C1 -C0D64F21C720224999DCE7771C3F2E73 -4D3C91EC13D6CECC83468B56918B335A -36374632373730344539333034414646 -0B778BF97D37A4193244125EF588DF56 -8AF0608470D0464FBED5C44E5A429E24 -D63CD1FF4EBF4E1F4622EDD335E6494C -46454142364633444532454530464238 -71392DC35A2994B95C042C0ED001BE56 -2BE60F7B535E01488F2B0CCC5B9A5077 -410BAE89E8A0BEBBE779A0DCA6926DC0 -37343744463430323845413435354245 -73353C8EC0F18D01D64007B5F0C7A23F -06E056A6FFB7338458921607FE42A97E -69F7FE7D99700C86280756E5FA4CDB97 -39463233464238444633464137444643 -7EF68BFF4D9EFF988A4AD51E34832E42 -C485123452B29E63E77E68CCAE285ACA -AF7B02A00B864AF53C68F1B13DDD173A -42394230443241414535374242463433 -5F002E97952F304B8CD16C73D7AF0A1D -4A3401CEFE069EC8175DA6736E8CA6B5 -C93F87124EBBA94661E07E2093A66B20 -30393538323138393635303133424146 -6A696FB21D0A9EFC86E450D59D746B1E -7B625955281D4996656B5F1E0D394490 -8BB76E26EC7FCE025A26EDDD66F9A5B9 -43373939353645353530353142433545 -51A6272E2DC43D7E44E21032AE3BA096 -BEAAEE34EBE4469E99C101D928CD38B7 -9A0AC4818FFFCD41F6568A80E762717A -30384437374534303431464443464238 -D49D8F7206A0E2E72EA24B5FD054E0FD -EAE00FB7BE39395311216EBF2FCE0007 -73D0E6A7627CC31344BE9C6702C439C2 -41344641443638433234463731343731 -92915B57C4EB5D1ADCE5A3C2D668CCB9 -BAEDF52A40F6845E431FE4C01C9B3AA0 -5DAB7CBDEB2CD512312F0665E49A77FD -41364231353546434238374531374437 -CFE8682F96229252919C977C526E4CC7 -7C7BFC8E32D83AE98A0268C5ED58920C -460CD10E8496604182E059B292F7C558 -44443235444632394245443945433737 -C2C3517A652093026F015048073C0CC0 -4D072C61314D06600367064438846817 -F70815D2E0C7A8961771B23FF4DC02EA -30424630423532353639464435443143 -07C2A0B9AFF5E9C2C38E0FAB417734FE -6AFEFF9A766308AC6BA1EC855E0F9F1E -3A78D7A00963946BDEB984E36B68FC2E -44324244394530363442424136374141 -23F5C4A6228DD3A2CC47F091D8E157E4 -E7551C78F079F0EB27C58A7D40F46A47 -E01CAA3E4A57F0C34B21FF1E912AEE21 -46323137393842413331434133334435 -3A2A1A95401DA046DB6E30DD5FA66760 -EA0DF62384BC291104B2322FADFBE872 -B6D17BCCD4F0C1917EB8D24929569A8F -35303046383244463042363741314534 -D65F3B7F2C870B524BD87B45FBCC46AE -F459694564F80D16D3BC8BD7A7BBA656 -6011C9B6682C9D57557B7F1B6313F1B4 -43373844353444453545453246433538 -B5B2C01D32D57F88B0ED12156AED628D -037EA48436BD9E2881230A7C222F31C9 -D805B8A93081777B3D166FC64681E9D7 -43393231444437463734323832413530 -72AE8DA949AD30E2340E179BBB715E4D -309185AF3AC14FF8C2342EFCD5708F69 -689AE33F018A27E841C1ED624E07B921 -45413636323543463343414539463545 -666A7F40E840F5221530AB9AE4A37C62 -A220478C11CA992F37723586C7B8E434 -6A023B39D45E9D915B64C37C0A54C338 -32333437334333333236393132383236 -4B994E32273D3C9DE8F78E4107AD1CB2 -9DE9F7D843C4B1961B43746990A1656B -D2EE8545D277CBC487117379342429EC -42383537383134324542444237413335 -BC9E6B9C429989055F2A31325EAB21D2 -43D04F0DE8514E13401C429B134EEF1F -8EAD57DF88202DAB3062F243BC12C0C8 -30343035394131393942384437343036 -18F37BFE591F7CFC902B19F1BC60848E -88D6FFC41DF6877FD035CE9798F6C713 -E22B8E1E39AF19148C23ECB975BCAFAC -44463533444234464532384137413242 -7E721DBB8865C9DEC801B394D7BC6880 -D5C020859D866D8270E06409E6BF1068 -F9CDF0C1DDD39186D46C94B7EEF0BF25 -35393739354143364339413342304434 -4BCA89F458BF620C564B85821B344143 -82C785D0882F3219833A6A6296B526AB -82FA0E141FCC9B4DF94BA8FB42E5FD03 -37414444394130363939324244383934 -AF8478ECF28971653687518699A63E3D -D2E70B534D2C41A40EEC37F81F343B63 -023F10275C170286B32AABB8BA562772 -39423546344543343937314134413946 -6E30D559C683155043D30B47B7EDD32B -40508D2DC37B531DDB5C263A3DB208C0 -9BD1CD8675388A21E2F839B0730F3EC1 -37384443424239454332463730353041 -912AC787935B716603A6360F452AFC3F -C55736FB12EAF3AD945A70ED8169C0DE -75CB2045940E88BEC5770C6298412064 -45364238354134383544363339354536 -028A52B43C7FFA67E66DAA5AA1D2E45F -933E69D3A8D44E9E36AA4F71EAEC22B8 -0980BEA6D440D2D29968BEB5613D7F82 -45303436324233383139423432323944 -2FFA6212B9F19BAB5EF59B9914F221CD -41334B8D5022CAA2EC7DA7CF6A5452C9 -84F7CD382AAD26527DD648DF4152AD42 -30384546343332324246433345343343 -6E9804CB1E041706DCB73725519C84F9 -AB82A021755224BAF4E0E49C0800AECD -4306DE17D6F991FFBB394910C501107A -46353332323337353338444639373132 -EA8EB1147A89095C55B48C27EB12D0F6 -8ED54E77B921DA201A5CD2BBB55A602C -30F1C7848481314F87E59F315A1C3EF8 -32303643444544413843344431313236 -06E0E23FF99A44405D4DF0737A2194E5 -D6DE9446616BF1520D8E78D5B99CA2DA -F6BF42A22DCDB78DA77825AFF5BA46F7 -36354433453630443930343630353043 -9C575500E4773EAFEF8CA93007281585 -E02887C2FFD7CD659308536C4558B36E -90B6B522F358556AF90C29655FB124A7 -31454532383538343830434534373132 -F3A0E9E1654FE38AF834C7921EC2CB2F -AD56E278C6E5F0F3F4C79B86310F7C6B -00638F22718397DFF2FB65808AAA50EF -39313444354237433735393644453135 -CA60E7854F323F4B9F0ABE5A0A7F7545 -9440C1F80942FF664472B9C7D3A59280 -E6027CECA083C8D93D28EC616F45769B -39423636344336464137374432464132 -45E1F3196A47BD1388246DE034800F2C -7155582B2403CB5E78678794E55903A9 -978FD5AA983DD440EDCA189CA484D4AC -37464243423236323543304243383633 -342715918F77311612761C547C7E2D73 -EF61C646341155E8B0406CA284C23ADE -F7E0FB596A447035C9DC48B0023E539E -37463830314542333542443636344231 -9E46757150E2C269D8F5014608D04303 -3A286FF52A297BE0786C1D95B9349C99 -CD4A3CACFBC96AE169315F59ED442A9D -31343643453833433546313731423445 -347F6C42F6CAA26C7C4346EBE752FEAA -BCBF3E08FFE788FAD5F747738C7AE300 -49399CAE9D2B17640BA709848672FC2F -39424639414235343538333734464431 -762D82AFA95452EF30FEFE3A9C9CCB65 -4BC7956C560DCF42E61007B0752634D3 -CB9533AB3C32C0EA5264D98D42D4387D -37363844423142373441314439374244 -E5A2F015B92493AC68A6BE58E56C34D2 -6892D34652691C57398768652069D472 -389AA12F92C20544A80DEC5FDC43F9CA -39323344414242303239453133413546 -4550B8A71A72CC4CA7C46CF14AB708CE -736399DC1D5FAB476434522BBEC9A615 -FFDCBE529405C5E7A1FFDB13D086D1CA -32313933423335384545313336383837 -5E7B3999151311AF33DCCEA97317ACF8 -30900F40E8BB82FF3E6C69B2F09DAB66 -E318EEE15BF4B728ECACE330927302D1 -39413434384337463036393034444335 -46FAE984801DDA52C2B77F46B1BC2BA6 -C7B4A7BE78F585BB96A6CF06D1D564CC -8D168367D62CEA40B9C29E4F5A9F3DAC -31454441384534303431383937413142 -C39603E45790A24AD00B17995EFF450A -B7BC37135C35D2B9EB2A8ECD5307577F -AEE8B3C92C2FF7DFC8CF354EEAA6DBAF -32433746333641443344363135423837 -DFBF8C33E86F94DEA21BB689EB59C524 -CB9781BAD88A5BC95137083F0E16248C -5E709354508A8DC2D4F08CC2B26A6865 -44303144303643453942413932333936 -F78F7A41D261D7044FDA051B8276929E -E1BF1349AFC83C612BA18DB73824A841 -8A2C70C34F3FE3356A61EF49CB076A7C -30303035303135343831394334394531 -351F52D89179DF6BD9A882998E66222B -F6A4FFC8F317361FB925E9F12F293B8C -6839B12F724E38968D28E6616B450273 -31303443363846393537374437304446 -4CEF713A5A6ABDB3D2E49EA6C7B09B07 -A0C45151D1F8085617977024FFC644A3 -B906304DE64DC3ADE3B575B1629C9662 -32383441333533303433444231334235 -0F8ED3A857E69F5975097232F622B3DD -2BC1D11F601DB9B45B98032C4D08EA89 -EDA61CFAD2432A10673C63872FCF6167 -39454431324333363538384242383233 -7E8459131AF985D1B2C74415E2372EA4 -24C31AAF44DA2D9CE1E254272AE7A33B -1C003F8439240EE498979A73C356B758 -46443933383538433837333645464636 -4F8E21CA7E429636C76DDFA46BFED91D -5744D2D347991C692F675A353D8DECBA -7050CFAA6F7628287047AEE1ADEC95A4 -32364130453635424634314538413333 -07C7A62B25044174401856FE1DEF7CE3 -28A213ADD4B203A2D655090A0A2B4C65 -E0B8300A11BDD1C87C1737324E4CFD6A -35454642334243443846393136383235 -0CF347F2A746DD92BD160B4E710AD97F -08CD10221EAFE41D609FD73E2BAF86CE -DACC64113E901B934F9C122430C4C6BF -42453341454531434630374545454232 -6794C7732222F1C055379F3B67E97540 -EE6DD6FA24D9D1E648E20B66C81B6961 -66FEA259C5988B1F9FD8D148C9AB9015 -36333637303738343535434641354246 -4C5BD4D61C31E996142EEC8869F07ADB -A447E7E59FA140AF9BE0A2E1554A7C4B -C568FD8068370D0898247301E92AA728 -44444432364144413142414143453932 -B5490A4B1D0FEA7D02D41853AE87E883 -B89BC6C786735469DDCDF15F15B142BF -8CECFEA7111E74291FFAE3003837D70D -35393342383539333830434135433035 -20BACCC26E0E49D1AE8056E0D9B342E5 -DD4D7A888E6EEAA4EB66740B06FACD16 -2F4B54047BD09A0726919555DE69785F -44313130464344383638413743353446 -5711891A5722598DE563D6932D654107 -9931034A11EE9740D6E50F66BF76860A -46D9717825B7625C66288343512C1AD0 -33304441463145314436463831453235 -EAFF25574E03C605C8F7C5405F0B0DDD -678BE01765BF421381D45F1652D61B4C -F0C543FACC77604948B3CC60A17351A0 -30444136453537344536334233343538 -D6137687080221D68F12C606A86D02ED -926EE26078BA79E11B18321C82D10DFF -ED74FFF7824ED5891D106232EBE489D1 -44433131353442313242314433434544 -8EDB26D3E230B53B348038D04C539CC7 -54409A74C030EFB247A5F5D81CD4A8EA -E0016284630AF4953F55608B2560B35F -35464236394446353430313638353935 -587544445B1F80E83972B08202B35536 -03B05CD939DF7182B1460E95A67D9F62 -361D53964EE7EFB7355A5FB9A1687A07 -30454633313544373342423746383146 -EF045D72EA1ED6A465BB1549DF685C4D -5F814832D56BA9554845DCD4472B5A57 -DF6DCAA5B335E68034A433639EC628B5 -38333836443841464442434635313038 -3DC9EC939D920FB7AE9293E2ABC02DDE -FB901B30C8695C93BBB77EE9318568EB -9B3207DA09196D1523DAD4C139AE4776 -38373037383432413734463934353541 -1A92F3575CA21F2D7811002335FC2EE3 -EBA0A78B7FE01A590FC34580C79874B9 -9595D93E76CFCE322B1A701DABFB2691 -46393741383946334636414545464231 -04821211666ACD47F5A4690A5AA78BFE -958033D3A1C890A909DADCE34492CBC6 -51DA50E45A7D6C656CDA0127AA6108DE -31373537414133323233323533323445 -A8714F6F766F42265286C859DF93E4EE -963B94B889D8A940E09DD196BC8F2C4A -DE71652545D2413F56BCAF473CCA8CBC -36344635443633354545424436313930 -146971F17A640BCD81A2CFBAC63FCC1F -42374E673F10C79835359BA86612C8F1 -D8055D1162FC2AF3104D26E7C558E6AE -39393232304436363133414639423134 -D95ACE7ED1C97F8EAA003D03B98C9508 -D48E8E7E70643E3D8FC0C9279CA87EDD -1794FA531481ECD14FDF4EB0DB9BD2D0 -37383045353641304335394345304230 -66D3D246C24E6BCFE074594C6D25675F -AFF6B76C0ABBCC90A3FAB7F1BD244E79 -5A26F49CF3F026D0CEA89B8B9364DA02 -45304343334241434236434142444546 -4E60EDED925924FAC2C296E7153A9776 -0F44FAF0BFC239215B9ED9AA55AF7B95 -0040AC64DD4F4CBD4F49C16ECDF1319C -36394644454239453333303645314536 -469A886E631113706A413261522064A4 -325A810DCC94039E6943EC5AE2D13DB2 -DAF335ACD0117FC36DF32AACF38D029A -38333945343342464645313836434143 -3AA7363A741D4AD8FFF316A899F85DCF -7CDBCC097E223A4BAB5C9D41054DBC08 -7BAA4629802D482D3F3062A2A58C0BDE -44434644444133353533414431303238 -D003C586752F492F1B713B46CC0CB295 -69C123C7DE6FAB6307C51E05C1D24EEE -368583606872544E90C032B171AF02A1 -38394145374643353130343443393745 -9FF1A7625ED19C3280B6135001E0B063 -B4D1B99C825D1BC3C5362D48D9C9B66C -46E1385681A96EB625FE9D66C538B8F8 -46414336303436384231303442383844 -801C2404E2CD30B340F0124BF33B4860 -FB9722356842E505548CD9095229F576 -32079E43D0CC89D86668F2AE41DFFA37 -44344436364235323631394130463339 -2E18A59180F5C4006FCF73B866E98461 -D23F48D4BDC840A43CFE950BB7884ED7 -2469268E0366F8507271065BC018FE6A -46373644463242323739463443413034 -986669FBAF26D68BA15F933FF701A0AC -8EB9BEE20CB49EF1FC1C43D2FBB21357 -B32E9C972C8E2F8A61EE9F67ECB432E3 -32393638373738464538343537333937 -DBE3FA5F364F48C674FD17F4A33F6613 -BB9E0CDE6C7B9CA45ED2A5B6F38D3E13 -A17CFAAA0DBCD55750EC2074E0E4C5D2 -30433337334645393135393541414130 -C4F5FBD06743FD214BEBA68EB416AD20 -0D33384E1F1AE7AD3B879D3BB1AAEFC8 -E0330661CAB31A3EC1E0D9FFEBDAD43D -37463435463834384637363634433536 -3B09B4E26810C7B1F7A407D2B574DF62 -2EAA4843860D571672C609FCD1C31315 -4DBC3B20897C9536A68045CD65F3837D -33434533314434413730453432373141 -72632AE0132AAC159511E5E248C915C9 -83EEA068755014624E99BEC4B36329D1 -620DCA71D4B7AD60FAB2FBE8E333B411 -30343936433036313436383730374236 -B4BD64560C05B4610C7A3321954A24D0 -CADB405F52E2E0DF90A88E6A14FCFDD7 -936F8AC5C00FE25AACDE787C16165A72 -42433744443734344341343941354138 -803704119D9C8897BC46850694EE428A -E2386A9AB2BA068289417BC759810F9B -4F66A60FED478A1530C3DF49DFA67386 -36383030393835463646423832393245 -0FBD8165A002229788C22B8FA0FE184E -77B4BA1CAEF588373D90092603C1BD63 -74B7A06B2ACB6D7F96D0EE35258D3170 -38434436374646413134453430423633 -A77EB40E6AAB3A46D682FD07BCD531E4 -7AEF432E7D97E6E58D62AFBF5F6AD6DB -807D5F8AA370F6490F6F8CDCB702250A -35444531344543454432353830384538 -EA282391C4096A46D9556517FAB2DFBB -AA1177427618BF28D325566CBE85FA38 -01D4C34CECEAD405223DA06CBB5D8830 -41414536394139353237353931463630 -1BD53AB280C5A3B6E90FB080DCCC534C -FF504AE3423088434BA8019A06546FDB -BF0EF26D86B0A90CBF9881B5F0CBCCAB -36433534444335454537433242453242 -EB1686A471B92DAA8AB9B30BF6736E7D -C68B861D893D2F609F30FD550C016730 -3F307A7B4BC601902DD0DC455310EE02 -41463131423636433035303938444242 -FEC9DB207BA4ED8F56FC2A12E7883862 -A2B6E2C8BBFFF405BE4A044851562155 -25EC3A27005AE75CBDA4B5929AB1D855 -34384541313135433543363734313443 -82D735F9DF70EEA614CF54F261EAE381 -50429399271A60BFEDF871F24CEFEBD3 -057619CB69276E1E500A55706EA413D2 -45443144353635393645383034453943 -8AE008EADC61BA6739B14A15B619EBB0 -062D6F4FB2E968DED35ACD370FF1E1B4 -8D98515BD798322F3A2DAB2C56273859 -34363844453941334235443941464139 -B0C16F4A9135ECCD5AC1E592CD60DB27 -2B7BE826E48BC2254C55AAD1507C6A5D -5F5D6FB7E6812A9B52D2EAD3DC9DE1D9 -44433246414345364133343632453639 -8509F15BC1AE4EB254CFA36C764AAD5F -B5FF7AC57754BE59418282BFFA556F7B -6DB2699414BBE997C33F67D3286163B8 -35434445353334303233463745344630 -34FBA61CB5704FD756480490A961F310 -053F2FF321658C57D08762E564100FB7 -F9C351BF5062B81CDFC4D8D3355BC9D6 -34354431433142463432383738334238 -1A35111012D40AB1CDF921AFFE9AD150 -11B0CBD26D12909BD47EB9FD65B5DA86 -FF076DC6CF4F99C2F188B1BB3B39BD23 -35333231373830423332413138463743 -63D948D4CAF2CBD9D1D4469049BB41B0 -ADB262189752CA829E6312FBFCD26B8E -CD48F02FE0728C4CBAD67B517D899A0F -46374142323045444231344631343942 -0B04D773BDB5CA1CF605F1071A1CEF36 -02CC88346D418616F578F69F7854097E -B71D957A1FFEB47B295836B71B211AA8 -37463038464641354243453135353344 -3854CA0E509A24EEA2D7FC3FA5B98627 -010BC6BC483B66E7A34BDDD1ED758F20 -71AB35169823C9548B10ADD8B1EED429 -36393632393735334139424535314633 -CAEE6C40E8EDD6F25840521973702605 -3F4A90375C919627A0C9CA698E758119 -30CCA5F2FF318AC98EFC4E2C595061CD -46454446333445313142463530454534 -041F1A245D6DBB9BD464003AA0A599D0 -C39E9AC814BBBB62EC28B04BD248B672 -E8DE44411FD832E1B182AC74B869502F -41353234434634383141303141383643 -3BB243EA78E9454E7DD2474F3309145C -9559F6D145EDD7A9249F74A1AF7A98FB -27698E423C7E604630612507D9428696 -30314546363831393837383333444641 -2CE2EBF5D1D6E0E9CB6EA8C9284CDC78 -1712351A8338231C35ACA62684DF5005 -4F525772476E8BCF1C12F0959128F73B -46323944464433454433343036453537 -AB44ADF1A22F3A03B3FEA1425DDE0240 -A3BDB5D19271410AD2A98219DADA8CC4 -7C0A493A6462F84BB843EDF1559530E4 -30394538383544454545443836433731 -77AD5643955248AD45A58D5BE1F6074A -14A6D8A7AA7DA5F79837BD7EDEBBFF46 -9EF9F66758FA0F7BBC4F520E19E8BCA4 -32414334344131423744363042383037 -04FBEBBC8F27D366116B20506A17B103 -1D7560036919063E0B2EEB39A2A84D0D -1B5D34508D4EF50B80AC6368AA450E36 -35414632454433424534323439333935 -3EAE76ED808D6B8C46FFDC44E9C02E95 -44DE3E85F92A3F1563A1D257E86E48BB -5FB4EDCD67CD668C78C022576093C709 -39434342323632463844463038314635 -6D347D63EF60340B08C78F2887D91BC7 -B4EB9CB83A10007B6C9DBC16CA0BA2AA -582BEA8FDCC8101AC1406574ADDC58B5 -36413536453141353237453139333344 -B3F2C10C3D138A4339C617989A205A0D -522CF94DCBD1FF7411287F99EC3EB4C1 -B4CFEE55C63031E1CB02D3B085C1027D -33384632303230384438363937394344 -24F2B5BE542AB02EAD17DBB3217C2294 -B149AF3C112B839633FAD4F12F51C4B2 -A54A37F725DADCB517916112BFFBD2C9 -44414431324330373436434644444436 -6E2D230840CA5169744B225B8650F487 -46E78BA699DED5D423E4F39C929343DA -22EB0F06513D3C4621BF8A1E363D46C5 -32394338324346343438303635433442 -25EB0CAEE1F98CDD03D93F180B0549F6 -F047002C62F1D9F3D0FBB8534B62AC4D -4251F5AE4EBDB03C01A2F20DAE1CFE0F -39343230413030303631344145464637 -6E20A4B2AF173DEDF4BC8187FB869DCA -FE73357FD349222932072113CEB1D047 -2748DF2298E04E1CDB0B27D92593382C -45423935313435413931423746433331 -A10FC96561B20E2203EAD0859187BC37 -ECA63A8065B3AA3554C1E21EB02B020A -267E8579DD3D148DA387906AA2533941 -33394545363438433946333441363637 -05027E1C9927E0A95B5F2525E4DC3379 -C403608815054E462D2DF89C636D78A6 -7637A6B59561D0291097A11A5E8300E0 -37394535463333303543313534373533 -45BA59177CED62917CAE72B01C7C4155 -E252B84B62F1323FE2638FD99C304B9A -A4B2FFB507F685E3CEFEE526A410EBC4 -32323933373645463235433345463236 -BC710ECB03D9EE89F74B7B3A28FBA9A8 -0ABD5847E6F07238FDEA56D309297686 -B6D38365C6C0AF79B47E0094BD95C56F -41333334333136443733373534393831 -9226488AC485901585DC2F48E50A96D8 -86AEAA9070E0DD22AAD7C7D4A275A3E8 -B4277FB9E6AB8555F0B4966A316F799E -43333434313845384645303231383342 -8A26FF440558F44E82CB9860E881061D -6821B00BBB41D3BF48AD507579B23264 -CA556C6A10D6AA8F4B0917CE4164DFDB -31413537423636414237394333353233 -679DA1A830438998114F2F673FD8CF31 -BE4242CB8D67BEFAC2004A4552C4C403 -92117C8577975D0CCF1BF49D54BA5D08 -31464436423236393846343739444543 -3BC82EF75B8D9C9380F7EEB978A4AF09 -EAA64ABB1E57DC0FE0EFFBF73181BB24 -6D561E9D128201B79291050BB755C9B5 -37463743353630413839353637424333 -313CF10C013B723339D343251D2B3416 -BBEDAC51EAA0EB4CBC0A772603052908 -0027475907923B2B77A2BE8BDDB22D0C -42443436454430453230343837463844 -6C2E642806D1CF523AECAB0233625621 -2D780597C1EBFEBC93E7258863097E4D -8443E82D20E33EB1571170F062E381E4 -35464531453741464634363133364536 -32DBF98E6385AF53EC9F9F57D3316E87 -6B7BC068A551FF5979B5C4A814937252 -F54DFE4B978E141872AC7B7EEC624936 -38464438443935324143443839463439 -0EB13D72D4DEA99AF2A70D597D4E0953 -CCA1C0FD38D9E475384A66D01BA4F340 -2192D37F6A3BC20AA7B6EF1F7DF12847 -46334631423042453937364338393942 -24A78EBD18DFAD7BFD75FDC3D4F1DC04 -ED8FE7F600EC769B422F2EF16167EF7E -17EA0C6538C103798EAAEAD61960D12F -45313935343230374539373534343432 -B5408E1EE194D20F3805B8CFABD50C32 -D4F7F76EF78C182E2BE807D52B433B1F -5B997895B29921F751EF2CFC26595B2F -30303934343541323835353833353242 -CFDFE8434932286DE6190378F2535175 -C176C17F7542F73E8EA507319ED4CECA -0E16B638CA4BA91A5B0C0A7874000E15 -38384335384339313438324244424641 -A710ABC7A5F0FE70D1476986FA1709B0 -E35DD8ECF78D6E28EFFB8EACB1AA7617 -263158C6F0DBD545CD722398FB94E73B -44434137433144363445314245424642 -D61CA54527A4CE5761C5B6A9A5BD0B57 -2391C920AE9F2133E818B56C6A28EF3E -EB00D295807C1338EC078FF5B428E7C3 -44313443343945363432323544354443 -EB3D21DAC6E39E610298F119AEAD1428 -D9C23F745A79C183F37613DD30E878C3 -77FECFD7669B9C8FFF406302AB45FD54 -34394233324138323342463037354542 -096492F1A1E27213E06B9904CB5ADD17 -5A11BB9BABC3041CDA0C1FF8AF2A365D -6628808A0ABB4A195A7E772DCDD847FD -38393138374632374144453833444630 -0D404E061C5C0B2D888E51A7A7796E26 -B873A5BB30CCDD643AE3582D312D3839 -24801EF86164DC6A5D17B768C5573C89 -46324430453432343730423631384331 -1444C4E603A19B79297146FB34A73247 -B78DEC388040132EE0BA603BA584FFA4 -7708F2735859FC7F287189AD861C2E34 -38434431424644434246373035353546 -556E0F4F53C2AC33DFE2B35D8C370EB2 -1424801F0CF173BA51AE5DED34F19548 -478C4BB9C683B4D0DDB5D9579D5336E7 -45313330453933303435343531353631 -34C7AF00C6632CFFD6ABBDF2E07CD4B7 -AE95BED41C6AC8B773FBB30A29A34357 -FA3AE078F7D6D64490FC4244CD125ABD -41394343443034354337373432464334 -D74C436D17E998ACFEFC866D6BD57D18 -22515384208E7516F8344995BBDC02B7 -4E6EA36CFA0257A1F2E823721BD2B0E4 -42343631363041433032433230354145 -30C34D3A432FA7F49591E8B5DDAD0B39 -87FFAB780C118AC61B914C7B7161D50C -9242E4944A1087257BA77DC1205E4552 -42384344343944313438453544424446 -8EE889B25BBEFC465B6CCB533C11FC8C -577F8E02E1664EB83454B27E5409699E -7289F3D1A6FF7E44F5980A09DFED6C0D -31343741424539453432393345313242 -9CAC630ABD307B519802ED4E0CEDE24C -75E10946AFBBF34547FCD6DB78DE8596 -6F30903EC58593243E683EB9CB9FADD9 -31303543433637313241433945423033 -D85A190F63F2C175184AB2C16C566567 -2D9875DE28EC41008F3800C1B78B0C76 -D9B1D3B47CB4CF5814E40AE7241CB078 -32424232464641434142373537423541 -31177A01796DD8AF62DC23E0771DECB1 -3E89B339095B8EBA6DAE4B9B664893D3 -2DD4022A29E50A7CAC3105AFE224E78D -37313232324333433938343339394135 -EA6D9170297D8DE73579A2F8BB9860A8 -A9CD7781EC5DD6B09B7E76E965EC49B0 -FBE3F5EC18F3C16BEBB6230F892E0F09 -41393635373531443439373744433334 -1161D982173855FBFC9C3BCE9EAE722D -D84A4F392E776F31F340999E331BC4FF -0FD3C5FEFEB0B5072C8268E1A9E2AC14 -39324331383644463739434134453035 -F837E3F26F993A2EECBCAB1F808AA40E -9D0C7A48DBC12B2D9D257C92ACE9544E -27DF96C0F21B08C4233581EFFDC37A52 -39383737424145334131383937443635 -9173F85506E1A499936D3F1D1A1195BE -7BFF9DCB2323B5A06E473E8DA83AA232 -C092B22FD41F9521B7F6B6FEC0B1C919 -36444437374238393541464539373338 -2CFE2838BB1AC054F9856BFF8CE9FBB7 -1F2575E146CF903ADAD39D88449EB3B7 -E600D7D527D4A246B19DC022437BEA07 -30394232363435343331424234414439 -3ABD0EB2BB492474CFD1C48B8D14498A -A463A32DBCAD880FFEECE1FC3A98B5D4 -390F0FC853E2540760D45A72620B9CE0 -44454338333143393445324636313639 -39E676E5D5470364D8DB86D8435EA61A -50C3B32469EC8C0D53EADF3757A5B49D -FB72B7F6C49574DFDA61CDEC2C385D59 -38343432373144344541453746394346 -E0456DD60C512ABB54E9527EB559C612 -7D667CC9C4301B74524F1D3E19EBD475 -11505B4784733B17F880633CD8D97CFC -46413244373738363536353746303545 -FF8DFF27E7494FD127F625EE0C2D4332 -A5F9E5338CE052DF4E4C8207CAC782EB -B8219C6C96A02F8394D964B3DCF20FCC -45373441394435344635443034453835 -BDE80EAA60DF0C423AE97DABA925EC07 -18111A0AED46F7B42A695D9EF9E933EC -DC351F9545D1CF61C8E1F141339B2659 -34413530303234464435363342374541 -06D2F332B16D0107F146E4ACB4FFD822 -8129C5EB86EF7C7038C270C78C377A72 -66A683DE9891284B81E29B57D710510B -37443545323743393233453434314533 -E74BD6D1F5CA61070E2E13A49CF39BE7 -85F0063D43A7CE6FED448A33C459A29F -7A2C55F3F9A468CE8F117462BA16C9B2 -42313642354632323831363143343839 -B12E107043F947FDF4D45F968819013D -4E258889F0F93D2F5D03ADC4DEC9433A -0929C91E41A9189E3E2DC83451EB3EEB -32384431423637384246364645454137 -0DF195C3A654F7DFD75EB83A0206052A -5D529B63CAA7E8454F54183ADF0FB7E9 -9E6E711204E3177A9958DF556EEDEC48 -32304643373038384536324135333830 -DF05FAC723861C63A13DC3F90C79D1A5 -250091446B44E4907C5152F38BC6865D -D11A2D50E515197B4898C2D3A79BBEBF -31373438344333324138434443373543 -9E71078277205C863C81A966801707A6 -973DA3CEF6EEAE16048164C8F85B571B -BB59556B7A5C74CC5FCD8CD24F451DA8 -44323444424238374633363345343335 -FC2BF16D7588E01A94B86BD6D01DF1C4 -2BA3CD94CC8D77B5972A0760DDEC5656 -920E6032C5063C35E26AA97ADE2C15BA -33414445413637393731373144394430 -6EC3A22D263B7CB600278F8E9142B405 -BF0E0EE612A4475FBE25BD621C42C3FB -09C0513E719189BA899F9BF9F97073E2 -37373343354636354133424437453636 -DBABE6055F65A430FD802F65C42B9C3D -07FD005809434F8CB68246D37D5A17F5 -0ED4789D33BEE16C8A86C977ED8E4DC6 -44373231323931443035313046374645 -764A4E4803884AA61464BEBC67DE1A5E -105050C3B2C740E17C149ED4B6368F74 -F2320983C70E3EB570B815BFF25B3EF8 -45393744394241413944323244444133 -09DA4AE0A623F4C68B7A6180B0AB933A -02466D492E5D7C5BBB643EB12535CDBF -BB6C19A78E82A023B03D23E0BEE7BFCB -31463334423632363445373238333646 -99B62C52B1101BF7733F622D9036C72B -B06C82C5CA4C472166760D9087ED624E -F07F9CF4CC46B187CB62D1892DF106C3 -32313438423531344246433443353430 -F2EC87149306931EE1DE026AF01FB0A2 -208820398941402E668C06EC259435A8 -57FEB2CE1E177E37FD18A80DB76FA7D9 -45374243334236344135363345383141 -941A999BDC0BDD8BFD2AB479689B9ABA -FD9FD1E7897617A5CAC2D7EA9E336C89 -A0B1A0FB0721A18ACE89397964B54C68 -35443233363141313933453544313545 -B69010D60A7B65862591126FD359227D -0FB6E94ABE5DE1045E97278C484E8E7D -57F837F0E743B2BE80A2CC1327BA5C0B -38453230353041344541443641384642 -455A8DC2ACC21AE9175FBDB2A7530598 -8364610A6AC1116CDFEC4EDBFD86DE32 -7AEED1D5705EBB58CE8F6EC31FD647AF -35443133443835304538304435324245 -F24854E4C5D23518A5043C156D16D8C4 -4E09A36E53AFB6A8148F6349198E0B82 -384C50E3F1F0351BA6948D4766E2DD7C -39424636463031414343433636423146 -0AB8FEC8499105CF3847A65620C0017C -0934A557925571F738B265075267A0B8 -F37E8970DAA9A399453DA2F3AFE8EC67 -46373041343631343932353439464535 -B544E6171750236401568BC975FAACCF -81BF3C9F79AA499C94FF32A9F490A8A0 -9BB75364E22D63A3850B6AD245F98B0F -44344435384233303836464335413443 -A6BB70A3FF06996FB8592328DF866276 -C3957F3549FCBF5CE0D3F3DAFC385AF8 -18DC1F5C8D8D8A6B70302DE252ED05B4 -46344642453543454534444239394231 -B86868B256C4EEE0CDE57AB24B7E1F9B -E15271144788291A908AF635885754D0 -0C4883804ACE9730E68F247CE4AC9EC8 -30353835363442434232393737324633 -23634202679374568D56975CB09BEBBB -0EB9125AF815A1B3E8521F65F28A5204 -13DDA2D3D9C17A5F2460E0D56B0F3FAF -44393430373837373730343335424235 -A64210443130E2F911BC5ED746FA0CEA -B240DF174CF3E3C6CCF1432D1BDA51BA -3C37DD3974A3A43D74044AD096786A3A -39443745443733393635323836423446 -BF9229C4BF575121649C38E50ED7BD7F -478B0EE28EDECA8084287B290AA11794 -622D8347BD42E5C6191047A792087A33 -41353445413635453846314234394631 -6D862240BE2FD4D5F2F5FF4C8DF2496A -CCE98E24F41ABF4B9D54B6BD468F3712 -E17523DF9C178A8BFD57C4274A879F1A -30363739354638363037373141344346 -34A996F76C3BDF2C2A0D5F49F2578E57 -3C91396B05C0AA4C73989EAEEFD43A47 -69C3411ECC39BA4C141DA5EA51364A6B -42394336344439323830304636314136 -D0A24E444605CC6EED108CDB113AC744 -199D294D88CB3AD1D077073289BC87F6 -5F90503CFC2647FC39E3A3AA7B3E4831 -32454632383943334230383033443142 -0C665E2D3FB93BB7A216009A10250D4B -6EE5B70C11B58B480334EC532BF82F89 -6DA11DA091D1D7DB9B730DE7475976A9 -30334430344146343131444436413245 -3B9B779A4475D2BB32C3BE433AAA5AA4 -323D38A577F0190970AF07E1F467A085 -B9907A6CE7CAD8E3B7F18D710D582583 -39394342453436343235433437413737 -56D2E0D0C0D872F56A23097BB056FC7C -F574684459C5862E92B3AA288146EF32 -E2847A185BD4F60B8BFD578CE93695A6 -31463034414533424345383339424143 -61384E2D7BB6239ECBE39BDC0AFEB8CC -944C26AE7E774D9D2FCC42A7C9AB8C3C -7C76D9CFB7A490DD389DAA96C753023F -34433546373235333735303938433545 -3D96F90BFBA92C7E19C02636B3CD49E1 -6791CA53DBFA53E0C864F38EF7602DAE -1E3371C0170A8D0F6835AAEC5B7B4E55 -46393235364336333346313731373838 -F64B4C72A623ABBA1EA95D2930B56818 -19A064C81ED3487F92D18BFFF2C3F2D7 -31731CD317CE8BB2599A2E18D796CBB5 -44343933354230323531354534364631 -3F79A067AD7164DE3671A0DED2B06CCE -56554EA376051AED4D861D7FD4DC40BD -304FB214F97FE65DE71EEACBD2085464 -34453045393031333241423844313942 -EAB85441878A3B28DD97BBD1539612E4 -E5CDA762586EF1C557FFEECD7972152E -EB28B3F704281F07574FC8D753C493DB -31393543364634333438313441433935 -AABF7FD6BBE91427291D678093A6B7EA -ADF6C6B338CA02B1E25F2C4B96928970 -16C7EA06A20734D15AAF7D44C70C09E7 -42343046363243393333373444313735 -4FB3CEC96D8D6791CA2BC5534CE89C25 -B60565F786C176C1121653819BEDDE1A -7CEB32D79F327AD7833C4234B00E0093 -35394536464245443646303230353939 -6AB5EC6CF8BB0B6BA20C6FCA702FE75E -C86B8453DD45D4B502BD9268BAF60B71 -7E6A9B72FF4724A728F154AFEEF1A55F -46423245313842353045433639433246 -EA98DA32EE6841191AD4B418BA8DE9A2 -97BEFC1665FD4AD5F0D2F647A39A610A -76FB001084BFBD72FBB7E5D0B01C269C -35314230333243373032304144463244 -8560440C7FDFF56214CFD8BBA0D13996 -248CC869CCD484D973A10186F8DAB147 -445772BBD1BF8E7896E3F6A2EBC4718F -33353542434339343231354539423845 -9975C059206880791C20BA42A6E6C257 -875D92BC10F0A3A0F41C8C3AC72280CE -727EFA2C9E343392E25175957D8EC3D8 -37344638424246383041354442313546 -0C4CB414747A4097258BB0BD2B9D7EB3 -6D46E76EA06FC65E509334344B39AF82 -294B983412AC1075970DF80F1CED8B08 -31344345323534314234313230393538 -D0DB303C0A69D7B3C8C8B76611FA2100 -11C142EEC00980AABC59FAFE6E58AD75 -5D8EBFECA8B02B0BB66A9E0A389AA10E -38333241344342304431343939303536 -4D2DD7A64720C46A4939C482198B8EBD -FE67C8F56DA50D68B04D97331884079A -03AB8C071999CB41C276CDB3531BBB43 -31433644314138383833434645374634 -7A2DE8063D9DEE50A08B56CC215993D7 -1AF5B46DB7CB4219B0D34102D9ADA279 -2C7BD467F658C94388FFEDAD1640B025 -37353932463636433234464437453338 -4DC9259ABBFEBAB35F5AABB98CBB05C9 -B5BA80080E0A414265CC04D2DA5D5A5A -C37A70C13D739A5ED41E900249E6AB1F -45444232464142313938374241413446 -A26C7CD4391CD4F8E37C3FE75AC67EC7 -1D9BB2F1B41F6EAA08BEE474C6F2105B -3AE5EB7AC9340F9874CCE576F9ABE202 -39333935454342443641373239443439 -5A32753E63619AAC02FB4ECECBD41478 -2C7EBAE59A8AA924C4D6F37C1F0B5333 -4D2373DF802468E75A5CC2C49A2ADAC1 -31333033354232304234414135374133 -7EC83317E31AC9A6CAAD731ABAFD05CB -D740B4AE22D80D35373C48E41A9CAB64 -00DBB6095BF56293F006A9031372821C -36364545354332394630433946393331 -62AC584B2D1892FA6D1193713680A9ED -CAA458768223965B684E973D4401F269 -82018F95EC267BB8CE0E2F3371EF6041 -44444439314634433335394531343235 -B041FD8DD6F8DF9CB9DE7648049149B2 -BA1910DDF702B99DC40B0D743FAF0061 -2129B988C2C0B50F93A79AE72A18C880 -44393033454343374332463530353632 -7D755A042B632762F65D11747CAA9CC8 -D016801BD7A122551734E144B162FFFD -7E4BCF6BC45CE7EC3B11C595E599E958 -44384431413036423846353746364242 -62CC4C916F817B5CD3D2A93C206BCF99 -E5F08764E1921CEBF2CA0C18B52E95D7 -BF650B220EFE68E8D1D526549D73B386 -32363635413136374131383442393630 -8EE39BAB85FA7ECF808F685DD7C7FD87 -2F154F0D999CD03EBF8EDD6985F97B4E -7531863FA514CE9F6DD01D2FADA2B70A -45424645364437303844303930303333 -8B9664DFDCF68A089BA6F868F8A6868E -6F405C74878C9B8A5E31CAFB6CAFFFBE -96C3D4C6B798B6A3E00BC77DB71FCDE0 -34363032453444334643383741313446 -4DA374F363A48E857852A609D58711AC -09C0235D21198B855206B23327CE28AC -33326C2AD120E0743A3C9F1FB658C231 -31313833364635313834363041344243 -ECD5851F48062C5A2334AEBA3F949CB9 -E547ED1FEDD573703EFEFA325AD04067 -0AC4FC5939C86B3DD87A6C321603ACCB -37393437393132303946443844323244 -C9106CAECEBC85077D19912D7775C5CA -1314DF8483BE9C4EF8AD2ECA2B93BFAD -F7D0CB74AFEFD1CE39289413B7488802 -34413938354546434134353239373839 -42DA0D5DEEF01AF7E8DD33084BF3A934 -494D4F877F9B5C9579CE9D959DA572BE -E696431ADF54345B308BB74D48ABD674 -38343333324643354339383839453545 -70A79C0AF658D91F147E326A3C0BA165 -1822C782860B15A4228C38A1F5E2188D -F50C1520ABD3A2997B677C38E12CAE58 -45353534464644394434394534414243 -AE27EDC2DB935343F30BEEAD1B244F5C -51CBEF5F7D6E52E1AFCD879C2CD0462F -CB1F15605BBD96F82F8DA0C58CDFAE1E -32323442364644444631323146363843 -121E46C664619ADF94A39CA64C3AE3CA -505AFD023951512C749BD4000690F10C -6CF54B9040E99710643AE5EAE9AEBA63 -43374146314131343442393935393041 -A6625C9588EB9CF4DE4CDD4DA88770E9 -857F700E1633C2D233989D0CE59DF601 -DEFB7DD26BC57035DB7476970891CF72 -36433039363041363033363832423946 -2A536F8D68136619F18681DBBA9DA09D -E5EC10B3B93D918225227EC4F460C587 -BA80056769516A27E379B4ED8A8823EA -39303730364344423739373643344438 -141A5851E15B21C65770CD0DFE0D74A0 -1C9F9244DB1DB15100452D81AB8D3F64 -0074D88848CC39DD12BDF67F2310351A -31444534304439453741353237394135 -FBE5DEC759D39CD257F5893C5082CD62 -CF8AFCEBB2A0848309CD8A62F0118967 -026421B57DA82FD3BD1472805C03C772 -35433439344546393338303544414437 -82D0D4B401688D3A6666959DCCF4F80F -97DFDD8123E59C6A245BFE554174C522 -E549A64621721A0DBBE16F2E45352244 -38304346304532333235413342464330 -F181ED3C23CB3014F8721BB907EB3E6A -6FE2513AED3ABDA2E92A66E6A3341612 -3FE15221EB7B1D57C9A258492C976CA6 -39363843383233363537453832344230 -1A6F01F7C63BBFC0337163B65FF98ECE -6355FA7C398AE8AF8AF4791910E73848 -820BBFB4AFEFB91F12BF1F3CD2B8DD45 -30393133344634393436464430363634 -9B02585DDC5CA51278BAAFAF0578C608 -EA0473CAD7E38D519DAAB9D9052BBDF6 -A4F75246B7B834DE847DC5C0ED6C18BE -35343433423839303636354144334630 -F031346AAB707DD85EB3CBBD0CA4E629 -B0824C2BD8C785C2A500D10E19C5D26E -6CC9D0E78C9A7C7FBECFC2DF3A622977 -37463230463634313745463446393332 -80DECAE226AB81E495EFA3DDB49AA130 -D844D9AEB444634BAF97D4AFC4EFFEEB -CF5D101BF524220F26EA7259ED5A4B3D -30353544353639344236303643334136 -6350E2131CBC01D251E5A2ABA103B6E9 -79C19F3238887EF5186FDB4AE7903147 -EF4DB55F040351F08CCE5F7916FDB96D -35453542323345433344363333343033 -51EFD899F8855AF85087AE6CB26C91DB -F363379A1E576CB514CC8F1C34816D84 -CACF1EFEAD6E4520B4F6D6791B0A8C4F -41344131413538313944383230373135 -A9284A6568E0652D0D4DA17EB2905E34 -4E92E6E04C132FF33E1CE90F060F4203 -8F4BF21B9725E17828519325F40DD6EB -33343834343736424342393442423332 -3D95DA6FBBE87F2DBE251C02A8AA4BEB -76E47E42ACADAEE6DD785D39E41C4323 -685CFB620FAD090F0125C4F8120720FA -37323239303137394346384343343445 -70E5FD50C1CBE572404CAF2DF3EE938A -7EB191F024D8DC1468234CA48300382C -A9AF61063EEA07265DB518647BA614CC -45363539333046343544463742393043 -82120B4B6F0072D1E03FDD78A59244D2 -3D8DD6965F666D27BF8D9CCADB63F8D3 -2077E8DDF7082F158C433A126C84F784 -38353836333642413632414544303432 -4FFFE9818D40603466545F1513A6B710 -79A3391140A0BFF46B5127A1158A59B5 -0DD249DF71385DF9DE1E6C963FF75C59 -30424245453038414432454333444632 -78D48E1ED672950B8D718A886554CF5B -65FF5F7A1691CE9287F06308FB50DABC -7E43D49A1A71F8E7899B9AE936B5A869 -46334237424630333035353144453546 -083E029F146D73986CD2305B543B976E -90CF07B0FD37897E15825BB31CC2315A -096DE0AD0655314CCE8E63F7D1D689D3 -31423834354246363139423741383141 -C49893D6E0522649D7D7328D3F13474C -5A754E3DD268617BD2C58EECB4FBA137 -29A55F0C2FD777274323B0C7008B72C8 -37304635393937343338424637334238 -7EAB875ED81112704F580DB443D7E2EC -D18BFA26880EF741BF03FEB1EC079298 -8615D82C2AC9F0AE7185F6C2B0C120ED -33384343463641464145303043464237 -236B6AD14BA405E0B5111A970FFB16DE -0FCA82DEAE511523ADD1C3AD6A6F0A89 -FC1B82F733A69C2B5EC0156101D1A943 -35413642453742453931383237344233 -C6AD097A270F73106A35F7056AD198D2 -2544433D7DC55EE788B4BC7E3E0703BB -3E8C45A3939AA471DAA191E49797C786 -44304632374437364143453837303032 -738B5F389242D8F720E66D745A530261 -D97DB85E023E8C9039B386AE6EB3BE68 -115EB72D6D312B10F413B401A2A36512 -33414630364438393934324334384532 -170AB6C6D4FC89D40A857BD80F3D19C7 -B7A7F1D5554B5645F3D1DFA4FB5B81C1 -E3784262449296D4A485633F85AF1032 -38353537383336384141463145394642 -E34049AFB58DABFF9BC9BAE8D2D79821 -C229A82A245675F8BC18EEDF69F5EA21 -A8169B27A21D384249CBFFC6F081DACA -41324343374146363043344138323538 -2E7D603357EB94CF8791E36DC4B157AD -FA97F976D9BCE51E5D065B0F8BE8A5A3 -F79E7024D98857B5C6BC9C8D8A78567A -32323734384136444342344335394646 -FB7A4610E377E9A6FA008D3E68355D47 -67A1E67035881C69CA1B6D3803A8798E -DC94AA821135DAD83B000467B620288C -37353646453741394645413446324641 -3C1719AAAB5DBF47E534C7B74E941311 -AF88344978CEAB8310391C998A79D7B6 -651B38EFAA7D73D49FCF0C73705138BD -35433733373936463336343343353236 -BF0C0E4914D2646A9D08981A47166C78 -945EAA9FDA3A9AD78F84DB308963986E -2E4959B08ECA2E2EB996454F4F2FF9AE -45433035334333394237443733354444 -B5A061107A58DDDB708A4D40702E0E41 -E7C9F26D1756C9C04C55535CDB64C922 -0D608CA1286E1AA2684FA64D424A2F2A -39354644364336303837334642384536 -CCDB08BC8B5DA4C006EFD877A0E48308 -DD3F085DB19B3DC233CBB1EB10BB56D4 -BF3BFDC05C21CEF6C799D03D93276776 -37414434374646373536363944353842 -8ABB69377135521978EB83AD08291F25 -56FE2AF36207E0C2627B36320254BF52 -86AD40E10934BFCD421FD5DDD3F96930 -35413546444433443136464534313446 -217CF6A5A3B0A4B8F0F9F10AD24B4AA4 -D5A7024CBF05DFB3A2D65D4B527F571E -0559A81DAF8C046367D9ED02EAF9052A -45374339334642334638353034463430 -0220AFEF0F299859368D8DB55DF49788 -8F640A5228F19C28819AE2D329E784AD -77313B9A021F3A41424B0A3FF7D71725 -43413733313338314633453542333439 -825AD8743A61F46A0AA9CA5B3F84D8D2 -DC41492575AC81B33B50D3C8AEEA037A -A2AEADF405A9CEDA59F7257C48A8ED97 -37423230313938443943383039463946 -95BD3644B6687762B0D25C92E2199798 -A8F41B7359E0628D3D5645E2D3DC313A -C1047335C8298D70C946F71AA450C720 -34334530363930334337444432334243 -25FC034116B5FD916386998CF6FBFF77 -C1A57374FA35E3609F9C4A81A44B4D6A -5E7833D885EEECAAA21696B16BA58AEF -35343830454433324233343935313941 -C3E7CBB63544AB384225EE28D0337483 -DBED00E1D0D1250F7A767D409F26019F -1E810B61965D2A82B3344B09867B717A -35414438374631313841373838443042 -C37910453665F69BE2741960AA1B35B3 -C9B02DE4BEAF113AAFDD8BAEC4588053 -00109BAD3D179EBC81EE14AC81F43655 -35323543444246424545413333333346 -CEEBDB46E3C409845C39FDFA344A52AE -CB4C0E6D87A308F8648189D6B138FF88 -00F75FFE7232D5FE311F89F657A87190 -36334245333137353533363431303634 -2DE99B26021101CA3DAC2AD36C1E08A3 -DC871E403378FA1422415022321BBAE2 -056B652676C80416E735879FE34FDA8F -46383632414539393133393335304639 -3E0604E3CCB3B4A87E23E81252537D6F -B6303057496F08BABE612B1DF97B05A8 -6547F944CBD61227BFFB1EB9DE406B25 -32383734304143363632344631363034 -A7A7249B1CE5B5D4597BFE384EF7CBE6 -74EA53E8EFEC27EBA7DA76945D1359DE -CD81A9A89791C3FFF83B03827EC006F3 -38314532304441393542313638463433 -1002205D5FB45F4BB27CCF5D085B9C54 -BEB9B369C529AC3BFABF4374F52285CF -27AC44F99102B3DB7914453CB258BD07 -33333346444544443146303246303642 -43CA07D000A1D74A224D6610FFAE1EEE -08032F4B118E2D2346CAB5A87BC2FA5F -78815F557C26DA2594FED9F5FEC763DA -38323335384337443234463232384444 -D73F55AECFE8BC86FCD01512AE4F6933 -E5D0A5B06AB6E5CA651A1B0362BD9B88 -CC2281A765EEA65655B752FBE5157CF5 -32453046423138413537313834423144 -8487492A6CC3895F0C1E15E5BE358EA0 -239B6E69A6535D36C93FBB94F50D4C17 -0D4D81B8D3F3ECD49FD4E073C7528895 -34374339323842354342333433394633 -4647429DAB59FD05EF8CF5F1A9283E36 -54E56C5715A3A322B7573002C67A4BF0 -D916F319BD02CAC847772DCBDBBEA1D0 -42443437453337443632413645323134 -5611C5E6FF733FFB9FAA434958203773 -D5AFB1B26D0D2F942750D524ECFA942B -F6071F2B1B6C07FD8C91453FBF05D8AB -36323644413831333836393341434644 -001FF92E0BB7E89A2C3A550664BC6CED -A72E284A731483BDBC22387C2F456004 -89E6307AF4622DB6D31CAEE186820440 -34463736463842433345423234364137 -83DD80A12801A25780F0AF9A10638C6E diff --git a/3_SC2_KC1_TC/test_cases/out_dec.txt b/3_SC2_KC1_TC/test_cases/out_dec.txt deleted file mode 100644 index a37c989..0000000 --- a/3_SC2_KC1_TC/test_cases/out_dec.txt +++ /dev/null @@ -1,100 +0,0 @@ -36414533334639413632443731383341 -30394531313143374531453741434236 -42393136354343343538453139394342 -46334538434234353433413545423933 -42463239414244354231414346333839 -43363142414135324530353342344333 -41414335453838344342334543374442 -30333543423237343443423930413633 -34314534433438413939363330414139 -35463835393245333041323230354134 -39453739333144433635304337343531 -46463736334337463844313444444646 -37393135363134463541324143394530 -45333841433741394432333943394230 -35323731433332453943323144313536 -46443943454532443943434137423443 -41313331433744454246424535383136 -36394142314231374438444236323134 -37324244344431384333414542363443 -30344142414538363736453331384234 -36414638324243334437414138303542 -35313644314238314535463730394332 -42433242354544323637323434433435 -31393336353742444530464530323931 -34394535423836443835354243464544 -42433637423435314243373042304535 -39434134433839453141364537373946 -37363345444344353936333234323343 -36343341363736304639463044443644 -32383646354343334144444636433141 -42464531424642384532364235424139 -45334233463544323732354139413538 -31323441434133433930313430364132 -43444643333946454534414343353532 -46343543414145443438443036424642 -46454235394130433831333034393038 -39374244304236423744333842373336 -33303445383735433944344233464232 -37414341393744414132343835313831 -36443242454143443646453230364632 -43344643414545433341333433374134 -33313736383141423046434246333143 -33414333444446364330334633453938 -42384246363437423933303638374543 -31453539363335454236434244324337 -34444331463838323439323446413037 -31463931394138334145393830453235 -36343236323543453443343239384146 -35343345444545414235343739433133 -36314546444632323131363035314531 -38453444463245373238453344414146 -39323936443335363733303237463545 -46323536324134363842363530413137 -45343945454135463337373939464534 -39383832383637353633433942433234 -46413941364246304538413039333542 -34333344363838303330323634363942 -41433445324439303235334632384539 -32303035384645343534464338453746 -38393231373930363446464544443343 -31373045343342323736463932443946 -30323833303132313146423245364531 -31304346374130413533443638303431 -46454138454539303042453342383931 -30333842433243453543443834384130 -43393230324331324642364233444533 -43364546334332343441434144443232 -42453938424241433337464439323433 -38444532463235334135393736454636 -30394336384243393044454337324533 -41373431393235353332433641363642 -44313238373833454339464546413631 -38464537413538313334323133373638 -34463637374346413735334334314345 -42323846333545453442313637344130 -42314532353833353446464544393633 -38413836344539453137303043354446 -37424233313832453539333230424634 -44444544394431334646344631363746 -31443332334231303738353432374341 -35464637374231324339344243413738 -33423930353138364131304446343737 -32393132443839313342324435353435 -35424141304546324636393444413744 -44444241383238443030454438443431 -36313845454330434634333837383234 -41354532443136343030304636464434 -35443742433446373543354342414136 -37433432374638444530363331433438 -35384636353445363236323836453134 -32363838353944423433333034354134 -32434137313442354345423441383430 -38304632393433433637374245423831 -41373639324541333134393646374235 -44314243383631423644343041423638 -32454543393434433346394438433837 -39433832393039373732463531464132 -44314546303831333643463444354632 -31373446433331453431364139463132 -32313042313144433539454339343742 diff --git a/3_SC2_KC1_TC/test_cases/out_enc.txt b/3_SC2_KC1_TC/test_cases/out_enc.txt deleted file mode 100644 index 1b7e17d..0000000 --- a/3_SC2_KC1_TC/test_cases/out_enc.txt +++ /dev/null @@ -1,200 +0,0 @@ -74B7F3B773C71DEFE077DE12D6A35593 -B251A559D0117610D34D417748C682C4 -CEC37FF48B084D7A25036D3724FD2089 -6D2F03BE8E40BB344A9D5102D50FC334 -5574E49C2E6D93BAF15B4569BE977B13 -45A776E8972910CCC00E50ADC1A1A188 -56A221D0E0A8F642FF8F75714A3D9709 -4361B4FF5F3C1FF0AFB33E06AD9A40EC -58C352B3934CC67667AE08C2DF0A7C62 -192CA967EFE2A246999DB38DE3F94124 -E3F3D21393E10DA37C3BF721646C4D09 -C964665977906317E5E467660D08F75D -B4B239FF54607D7F69F10A80340398EC -1E8D9EE8D96E6B559F983BDFD9A9DE19 -626A0A02E00215F1462A5D3C81B89549 -3E1A9ACC303F9A921DDDAE2572B8C68D -819150CCB755E557EB2AC06AD05B9322 -B6B0CBA515C582C7825D3E6DBB84973D -E483C73D6CEB4D09DF44B87C74A4EE6B -2588E72AE70898DEE4409733F89CA04F -EF32E738F03579FAF25B2F96F6B09F00 -7CEC94DA9E323DFD421A66D23EE2183A -7356721DB8341A279858D35150D70586 -131EAB7663B6893DA8326DE5612B0B6D -1E67441467058A582BD8439E619E3A39 -CADB8AA9A89480ED37A44A56B443CF1B -EB9A77B1974F1FDF6F9AB74BA9108C09 -7A5F63107011C225579AEA035E956F85 -B700A9C6A828B2403B568FC1315523F3 -BEA843940F357EDE34B0033892B2A299 -7740B6D71B1893753A5ABE68C98BC518 -8078AC399917ED9D16238310D78C1C46 -424B64264005671549F540914765D883 -7FE4B616F827249442FD5B530C771931 -8F857180D147A5103C1E0CB29DC4222F -A18937D9865106AD4C24A94DA1321A8D -6121E926BFA1B000B2F6714FDD0D1AA9 -F590325BCE52AAA395F10A26E352FCA6 -9BF9CF0B03CA6F277052FA5C9FE639E0 -54AFB99002F0E2F5171AEEBB1218DA03 -4846106CC28CE4B123886F31753D2F82 -BE7DE1F366A9B674A7D43413F799478F -65DE19311C0EE313F596A1C7B3ECAB51 -E1BBBAAE0D7ABD7A0B0C9E8E9E566AC4 -CF375042B6381AA02035ED3E64D63F3D -C2CE83D5973977D2D06EB11F934537D4 -DD019ADB88C17F7B3257E9968A4B4DA6 -E00648FB80058EC86D849802CD3A6661 -01257C6D76FC62891C1246555FDA89BB -0161FA63BE191D740C8A42F819050AA2 -04A930485F01F037D06F75F6EF63ECF9 -019D9352CD5F336235702252C9965845 -6818360E391D5D31AFB1C737A18D7FF7 -1B6FDABA78AB6F21E84D5FD3CDDBC529 -050F9E818D4CADFA249074E4CA9C8C8E -466230E1C17379830EDA6EA5D6B5BBBA -A18BEB048D2A1754D15C3591739D70FB -16327A5F7C22F083C35795B497DEA558 -635E441878E5B8812DF7992BC828A561 -5D10D91C59568598D10F5AD6B9F4F198 -AE80E88BB425862FB9CE8529F8806E21 -39C6E6E9075154632599B5237D12E9CE -CAD04D10CAE1E7C140B865051F83CBE8 -457B1D24B00EC391E5B9E941E36DCA23 -69525430E1D2CEE7CEC26905E875EFBA -C354E77544728AEAAD3EA08F5FDFA5A5 -3FA9A1851DD6972AFB50AD5A4D60C394 -5C976EE8230833DCE51912E74626BCFE -E9EA035E370E04CBE12E89EC9BAC464A -DA817382917F81A285C59F424BBDF05B -BE7838EC1A327AA1D8CF4CED8B86C559 -89156EBF7CFF1D157706C99C25C81CFE -5B71522AE649979686288E3BA43CB726 -4FD26C8CE597783D99ABC1F00C0E8535 -10532FA4E7275468116E3007763E1D05 -B30DC0A17AD51D90B63DF189DB36CA06 -C0B2FF02B8DE066121734656BC418CF4 -0A943773AAFECC523A3B0929CF9AE143 -E1B3B965D389A508DCD205AD1EABFA08 -BA83BBB81D44F1EB97A70DE3D0FCBECA -FC268D03CC013D8BE3E7514EBA52454F -C5BE7C14A10FF7C6DEFA3CA7BED8DFEE -81473B87C8CC9266A6DC28DED39751D8 -CF6132CF7618534D3B13AA2AF32AD7E9 -E04072A7F6928B1EC48A6F03093C9756 -556A990982F8D8F1C0C27BB33F563412 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -ADDD12CEB1EFDBCC550AD9F901BAB94C -59B4348C5B44595F84EA933DB0319841 -5BD4C775EC3E2029B1BC99DC9F526AA0 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -CF6C30410A6EAB22D737E3CF22785800 -9F85DEE42303A2C0E20A04845DDA1E77 -42CB3222E978FCBC55D0F194A839B4C9 -577B99301E66233A79C742D8BA46D516 -6477E23D383B2017AC8E9AFACBD16870 -B637940504D7D3ECC7A0E2531BC68C30 -33A44FF148549BD15F946726D885125C -F77FB55266BC98A50BF4870006392D8C -9843C841DDDB40BFE02307412A2C4A35 -F7D03A37117A3B2A7878CE0F425E4D32 -E8626997343F57F001EF7E6F4D20E6FA -67A36F4686876575A8A75748DFE2F2B1 -F4DFA2B41DE5ADA2D859171725A76A8F -284A056F607D4C1145E881A8BDA3DDBB -388D4E5772B32CC1422D3A8F0E1D60EB -70F6C84CE8C382C03C0630B12924335C -B73E4B831FAB1E3AFD1A20F15F538504 -10FCCA0F5BE22BC6354A9E005CF4DC03 -E6E5479C74C74CB8032428CD7860B8DE -A0CDA8870E163704906ABD1D910041E6 -D7A09020EB2E25DABC267862DB91F7F2 -FAA8948F8061630A58363079ECC9B2FB -2EA9D091B791BDFB8E618C0E845BF60D -B7B248BFC3ECF06132869DB2599730E4 -007403A85E9FDB8F023FDB3646A7F828 -967DCCB7D1348E71E00ED10F6D075F82 -5C3AA1F2C6273F00A827D79AF5044748 -89ADCE4814674F2ADBFC9B64CDCED57E -7211F2F76184B74D5913DF530B387C6D -612477F0E91CDF6F10461BD0C5689622 -A852B092F6297D3BEEB662602B511595 -36D4A7380437C957153533AC211177A0 -DD1B2062847D5FEDD055DDB1440C41A4 -EBDE1A8949480A91E59742570BE03005 -96A23158F3CC8432EE91DC7F05CC3367 -67DA408078B78AFF66A1ABF4E682DD63 -9D330A50F04A40EF14BC810DAF1C036F -D9D4FCA7E35BDB0B532E2CEB135AF536 -0B46C49EB286D5A32EE2977C2F953E4E -783D965F7DBBF859FB67E16535AC7DBD -2546A8D7522971D20C62A99C0156F304 -B419FF8713A4361F19F01A473FCAA2B7 -4B0A6462696998084B27A37BBFC46745 -A1E91A4B0B12398704F2FC317CCCB456 -685FA4CB4135719D129228741D759F0F -0E49ADF21CB47EAD92F5258A1FE67448 -600C7C23FCBC4360800912B21DBB39B2 -43CFF95811FC29B281A25AF605F2D583 -CF6B50656729E69DD3333D9B6C35B111 -17F5DD00A5ABB282B16D5F708E4752B0 -E22E9BD3273F4642A5E4DB3A6678D734 -CA0F7E24288C27AEDA326073B525CEF0 -77E284F2A607A8C74E6A64A15F0AFB97 -046FC4AA9E7A11FEE74E552661EDFA6D -25174008D453F7DDBF5EE50B09ADA224 -158D7BD55C81353E2484BD7B311A1D5B -EE364DB843A011E21AD9E707B4DC58DB -A0522FB24582F4455D0F1A74A5F05D80 -C614A33A55E903563AECCA11D4A503C1 -8AC26BBE0332914E0FDBC6676CB7D37E -C3420EE25DED5188D5C722AD8CFFE902 -5358BD3E6E224E4770A8D40975DB1B70 -9C39B07DEF1EAEA2D1864FF61C469FA6 -DCB2CFD85A08BF3309A67F712AC23E7D -41773CAE5CB62F59946123A15561325D -ABDAEAF154E8BF56928E02ACA67517AE -65501B1CA568FAE20ABE212052DEFFB0 -4E01C49EC33635867FD4502D068211D8 -DC398039868F4C48911B86CBA5EF350A -E6F56B406E3F2AD7D7EE1C8C83433AC3 -46A1710FA77541E348C8BDAC2574BF20 -0899C97A1868352505E1A79EF91FA922 -801F60AB8A7EC1DD078AA83F34C17B3C -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -36B7DFF8AA8033150BAA2BC027FB18C9 -BF9284488756CF5C1C20A0D56A354D51 -A62A14E491FD529742A92BAE09259C05 -2377F78402F5D3080BA44B7268647A08 -1FCCD383CBC9350FA95EF56FE7B8F6A6 -006FF77C82BAAA56CF79EB74FC89AFC6 -91C6D6AF9289E16A368C0501E0979643 -00ABF6BBC1BC84F34C70C954B8991C75 -CB78A9C3CF989064D53DABE3EC66A302 -35401817EC8E97EB7C9D1B1694B36D1D -4202CBA8765B32B9D0B7D8F8B313A732 -3F341A0BCDC2470F597722F56E4D63A3 -CC06E8A04DBD882FC53EA56FCA1AA8A6 -B591BA749679BEFDF89933BC0055B8EB -9EFCA418C029D45A69F85CF2A53EBBE2 -AC8D24856CC20CA65DF2E724D706EFFA -BCA16B26A399D4E7565C448F19DCD4AD -A920914E8C6B5D71FB9966DFF47A4521 -777ACEAE6B7541984C3D8734458E8298 -2FDC00F6EDDFFC46CE90182E256831CA -DDFDEC9D1C2D151A95CAA84750FF5CE3 -3E11F690B138B27D0C9378A0B58EDF64 -190F9597712730EFC079999989CE3370 -9974637B0B2B3ADABDAD4C3AC7C04B41 -AF8589490F9D901340BF4FBF2931B4A5 -081680A1E34562D71BE30FB608207166 -6368DD9930D6A8174023C2119FD7D419 -CD59D9B1E06C499CAD7167F33D3AA2A6 -02AF2FBEA4B58FF8C6E79C19BB14A47D -80DEA01EBBE9DB5E32C9F65D63D2E849 -5876964D627854D62988A88359D88285 -E12A5793592ABB5421C08C51C9664632 -2604BCBD99D8DF10BC966395B554E509 -D2BA67B083C21C7827CF5D3C5495A59C -1DC6A4F5077B36B786AE988DA315904C diff --git a/3_SC2_KC1_TC/test_cases/out_rec.txt b/3_SC2_KC1_TC/test_cases/out_rec.txt deleted file mode 100644 index 8e36ba3..0000000 --- a/3_SC2_KC1_TC/test_cases/out_rec.txt +++ /dev/null @@ -1,100 +0,0 @@ -B251A559D0117610D34D417748C682C4 -6D2F03BE8E40BB344A9D5102D50FC334 -45A776E8972910CCC00E50ADC1A1A188 -4361B4FF5F3C1FF0AFB33E06AD9A40EC -192CA967EFE2A246999DB38DE3F94124 -C964665977906317E5E467660D08F75D -1E8D9EE8D96E6B559F983BDFD9A9DE19 -3E1A9ACC303F9A921DDDAE2572B8C68D -B6B0CBA515C582C7825D3E6DBB84973D -2588E72AE70898DEE4409733F89CA04F -7CEC94DA9E323DFD421A66D23EE2183A -131EAB7663B6893DA8326DE5612B0B6D -CADB8AA9A89480ED37A44A56B443CF1B -7A5F63107011C225579AEA035E956F85 -BEA843940F357EDE34B0033892B2A299 -8078AC399917ED9D16238310D78C1C46 -7FE4B616F827249442FD5B530C771931 -A18937D9865106AD4C24A94DA1321A8D -F590325BCE52AAA395F10A26E352FCA6 -54AFB99002F0E2F5171AEEBB1218DA03 -BE7DE1F366A9B674A7D43413F799478F -E1BBBAAE0D7ABD7A0B0C9E8E9E566AC4 -C2CE83D5973977D2D06EB11F934537D4 -E00648FB80058EC86D849802CD3A6661 -0161FA63BE191D740C8A42F819050AA2 -019D9352CD5F336235702252C9965845 -1B6FDABA78AB6F21E84D5FD3CDDBC529 -466230E1C17379830EDA6EA5D6B5BBBA -16327A5F7C22F083C35795B497DEA558 -5D10D91C59568598D10F5AD6B9F4F198 -39C6E6E9075154632599B5237D12E9CE -457B1D24B00EC391E5B9E941E36DCA23 -C354E77544728AEAAD3EA08F5FDFA5A5 -5C976EE8230833DCE51912E74626BCFE -DA817382917F81A285C59F424BBDF05B -89156EBF7CFF1D157706C99C25C81CFE -4FD26C8CE597783D99ABC1F00C0E8535 -B30DC0A17AD51D90B63DF189DB36CA06 -0A943773AAFECC523A3B0929CF9AE143 -BA83BBB81D44F1EB97A70DE3D0FCBECA -C5BE7C14A10FF7C6DEFA3CA7BED8DFEE -CF6132CF7618534D3B13AA2AF32AD7E9 -556A990982F8D8F1C0C27BB33F563412 -ADDD12CEB1EFDBCC550AD9F901BAB94C -5BD4C775EC3E2029B1BC99DC9F526AA0 -CF6C30410A6EAB22D737E3CF22785800 -42CB3222E978FCBC55D0F194A839B4C9 -6477E23D383B2017AC8E9AFACBD16870 -33A44FF148549BD15F946726D885125C -9843C841DDDB40BFE02307412A2C4A35 -E8626997343F57F001EF7E6F4D20E6FA -F4DFA2B41DE5ADA2D859171725A76A8F -388D4E5772B32CC1422D3A8F0E1D60EB -B73E4B831FAB1E3AFD1A20F15F538504 -E6E5479C74C74CB8032428CD7860B8DE -D7A09020EB2E25DABC267862DB91F7F2 -2EA9D091B791BDFB8E618C0E845BF60D -007403A85E9FDB8F023FDB3646A7F828 -5C3AA1F2C6273F00A827D79AF5044748 -7211F2F76184B74D5913DF530B387C6D -A852B092F6297D3BEEB662602B511595 -DD1B2062847D5FEDD055DDB1440C41A4 -96A23158F3CC8432EE91DC7F05CC3367 -9D330A50F04A40EF14BC810DAF1C036F -0B46C49EB286D5A32EE2977C2F953E4E -2546A8D7522971D20C62A99C0156F304 -4B0A6462696998084B27A37BBFC46745 -685FA4CB4135719D129228741D759F0F -600C7C23FCBC4360800912B21DBB39B2 -CF6B50656729E69DD3333D9B6C35B111 -E22E9BD3273F4642A5E4DB3A6678D734 -77E284F2A607A8C74E6A64A15F0AFB97 -25174008D453F7DDBF5EE50B09ADA224 -EE364DB843A011E21AD9E707B4DC58DB -C614A33A55E903563AECCA11D4A503C1 -C3420EE25DED5188D5C722AD8CFFE902 -9C39B07DEF1EAEA2D1864FF61C469FA6 -41773CAE5CB62F59946123A15561325D -65501B1CA568FAE20ABE212052DEFFB0 -DC398039868F4C48911B86CBA5EF350A -46A1710FA77541E348C8BDAC2574BF20 -801F60AB8A7EC1DD078AA83F34C17B3C -36B7DFF8AA8033150BAA2BC027FB18C9 -A62A14E491FD529742A92BAE09259C05 -1FCCD383CBC9350FA95EF56FE7B8F6A6 -91C6D6AF9289E16A368C0501E0979643 -CB78A9C3CF989064D53DABE3EC66A302 -4202CBA8765B32B9D0B7D8F8B313A732 -CC06E8A04DBD882FC53EA56FCA1AA8A6 -9EFCA418C029D45A69F85CF2A53EBBE2 -BCA16B26A399D4E7565C448F19DCD4AD -777ACEAE6B7541984C3D8734458E8298 -DDFDEC9D1C2D151A95CAA84750FF5CE3 -190F9597712730EFC079999989CE3370 -AF8589490F9D901340BF4FBF2931B4A5 -6368DD9930D6A8174023C2119FD7D419 -02AF2FBEA4B58FF8C6E79C19BB14A47D -5876964D627854D62988A88359D88285 -2604BCBD99D8DF10BC966395B554E509 -1DC6A4F5077B36B786AE988DA315904C diff --git a/4_SC1_KC1/Makefile b/4_SC1_KC1/Makefile new file mode 100644 index 0000000..6f66096 --- /dev/null +++ b/4_SC1_KC1/Makefile @@ -0,0 +1,58 @@ +SRC_PATH = src +BUILD_DIR = build +VHDL_SRCS = \ + $(SRC_PATH)/xtime.vhd \ + $(SRC_PATH)/xxtime.vhd \ + $(SRC_PATH)/texpand.vhd \ + $(SRC_PATH)/clockgater.vhd \ + $(SRC_PATH)/circ_mult.vhd \ + $(SRC_PATH)/shiftrows.vhd \ + $(SRC_PATH)/enc.vhd \ + $(SRC_PATH)/sboxed.vhd \ + $(SRC_PATH)/sbox.vhd \ + $(SRC_PATH)/reg.vhd \ + $(SRC_PATH)/sreg.vhd \ + $(SRC_PATH)/mixcolumn.vhd \ + $(SRC_PATH)/mixcol.vhd \ + $(SRC_PATH)/mux128.vhd \ + $(SRC_PATH)/andmask.vhd \ + $(SRC_PATH)/keygen.vhd \ + $(SRC_PATH)/ishiftrows.vhd \ + $(SRC_PATH)/invmixcol.vhd \ + $(SRC_PATH)/imixcol.vhd \ + $(SRC_PATH)/controller.vhd \ + $(SRC_PATH)/forkaes.vhd \ + $(SRC_PATH)/forkaes_tb.vhd + +TB_MODULE = forkaes_tb + +WORKLIB = $(BUILD_DIR)/work-obj93.cf +WAVEFILE = wavefile.vcd + +all: verify + +$(BUILD_DIR): test_generator + mkdir -p $(BUILD_DIR) + cp test_vectors/Testinput.txt test_vectors/Testoutput.txt $(BUILD_DIR)/ + +$(WORKLIB): $(VHDL_SRCS) $(BUILD_DIR) + ghdl -a --ieee=synopsys -fexplicit --workdir=$(BUILD_DIR) $(VHDL_SRCS) + +elaborate: $(WORKLIB) $(BUILD_DIR) + ghdl -e --ieee=synopsys -fexplicit --workdir=$(BUILD_DIR) $(TB_MODULE) + +$(WAVEFILE): elaborate $(BUILD_DIR) + cd $(BUILD_DIR) && ghdl -r --ieee=synopsys -fexplicit $(TB_MODULE) --vcd=$(WAVEFILE) && cd .. + +analyse: $(WORKLIB) + +verify: $(WAVEFILE) + cd $(BUILD_DIR) && ../scripts/verify.sh && cd .. + +test_generator: + cd test_vectors && $(CC) case_generator.c -o case_generator.exe && ./case_generator.exe && cd .. + +clean: + rm -f $(WAVEFILE) $(WORKLIB) test_vectors/case_generator.exe test_vectors/Testinput.txt test_vectors/Testoutput.txt + rm -f test_vectors/case_generator.exe test_vectors/Testinput.txt test_vectors/Testoutput.txt + rm -rf $(BUILD_DIR) diff --git a/4_SC1_KC1/scripts/analyze.sh b/4_SC1_KC1/scripts/analyze.sh new file mode 100755 index 0000000..0016c92 --- /dev/null +++ b/4_SC1_KC1/scripts/analyze.sh @@ -0,0 +1,22 @@ +#!/bin/tcsh +setenv SNPSLMD_LICENSE_FILE 27000@ielsrv01.epfl.ch + +setenv VCS_HOME /softs/synopsys/vcs-mx/N-2017.12-SP2-1 + +set path= ( $path $VCS_HOME/bin ) + +cp "../../test_vectors/Testinput.txt" "./Testinput.txt" +cp "../../test_vectors/Testoutput.txt" "./Testoutput.txt" + +vlogan -full64 /home/mballi/nangate45/NangateOpenCellLibrary_PDKv1_3_v2010_12/Front_End/Verilog/NangateOpenCellLibrary.v + + +vlogan -full64 syn.v + +vhdlan -full64 ../../src/forkaes_tb.vhd + +vcs -full64 -debug -sdf typ:forkaes_tb/mut:syn.sdf forkaes_tb +neg_tchk +sdfverbose + +./simv -ucli -include ../scripts/saif.cmd + +#dve -full64 -toolexe simv diff --git a/4_SC1_KC1/scripts/compile.tcl b/4_SC1_KC1/scripts/compile.tcl new file mode 100644 index 0000000..6623fe8 --- /dev/null +++ b/4_SC1_KC1/scripts/compile.tcl @@ -0,0 +1,48 @@ +sh rm -rf work/* +remove_design -all + +set basePath "../../src" +set commonfiles "${basePath}/xtime.vhd + ${basePath}/xxtime.vhd + ${basePath}/clockgater.vhd + ${basePath}/circ_mult.vhd + ${basePath}/texpand.vhd + ${basePath}/shiftrows.vhd + ${basePath}/enc.vhd + ${basePath}/decoder.vhd + ${basePath}/sbox.vhd + ${basePath}/isbox.vhd + ${basePath}/reg.vhd + ${basePath}/mixcolumn.vhd + ${basePath}/mixcol.vhd + ${basePath}/mux128.vhd + ${basePath}/andmask.vhd + ${basePath}/keygen.vhd + ${basePath}/ishiftrows.vhd + ${basePath}/invmixcol.vhd + ${basePath}/imixcol.vhd + ${basePath}/controller.vhd + ${basePath}/forkaes.vhd" + + +define_design_lib work -path ./work +analyze -library work -format vhdl $commonfiles + +elaborate forkaes -architecture behav -library WORK +create_clock -name "ClkxCI" -period 100 -waveform { 0 50 } { ClkxCI } + +compile + +change_selection -name global -replace [get_timing_paths -delay_type max -nworst 1 -max_paths 1 -include_hierarchical_pins] + +uplevel #0 { report_timing -path full -delay max -nworst 1 -max_paths 1 -significant_digits 2 -sort_by group > timing.txt} + +uplevel #0 { report_area -hierarchy > area.txt} + +write -hierarchy -format verilog -output syn.v + +write_sdf syn.sdf + +write_file -hierarchy -output design_vision_session.ddc + +exit 0 diff --git a/4_SC1_KC1/scripts/power.tcl b/4_SC1_KC1/scripts/power.tcl new file mode 100644 index 0000000..8be4ca1 --- /dev/null +++ b/4_SC1_KC1/scripts/power.tcl @@ -0,0 +1,11 @@ +read_file -format ddc {./design_vision_session.ddc} + +reset_switching_activity + +read_saif -verbose -input full-timing.saif -instance FORKAES_TB/MUT + +report_power > powercon_lp.txt + +report_power -hier > powerhier_lp.txt + +exit 0 diff --git a/4_SC1_KC1/scripts/saif.cmd b/4_SC1_KC1/scripts/saif.cmd new file mode 100644 index 0000000..a41316c --- /dev/null +++ b/4_SC1_KC1/scripts/saif.cmd @@ -0,0 +1,7 @@ +power -gate_level on +power mut +power -enable +run 404200 ns +power -disable +power -report full-timing.saif 1e-09 mut +quit diff --git a/4_SC1_KC1/scripts/verify.sh b/4_SC1_KC1/scripts/verify.sh new file mode 100755 index 0000000..eea34df --- /dev/null +++ b/4_SC1_KC1/scripts/verify.sh @@ -0,0 +1,6 @@ +#!/bin/bash +if diff Testoutput.txt tb_output.txt; then + echo "Simulation is successful" +else + echo "Simulated has failed" +fi diff --git a/4_SC1_KC1/HDL/RTL/andmask.vhd b/4_SC1_KC1/src/andmask.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/andmask.vhd rename to 4_SC1_KC1/src/andmask.vhd diff --git a/4_SC1_KC1/HDL/RTL/circ_mult.vhd b/4_SC1_KC1/src/circ_mult.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/circ_mult.vhd rename to 4_SC1_KC1/src/circ_mult.vhd diff --git a/4_SC1_KC1/HDL/RTL/clockgater.vhd b/4_SC1_KC1/src/clockgater.vhd similarity index 94% rename from 4_SC1_KC1/HDL/RTL/clockgater.vhd rename to 4_SC1_KC1/src/clockgater.vhd index 480c414..c50192c 100644 --- a/4_SC1_KC1/HDL/RTL/clockgater.vhd +++ b/4_SC1_KC1/src/clockgater.vhd @@ -1,48 +1,48 @@ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.all; entity clockgater is port( RoundxDI : in std_logic_vector(4 downto 0); InsxSI: in std_logic_vector(1 downto 0); ResetxRBI : in std_logic; ClkxCI : in std_logic; SregxCO : out std_logic; KregxCO : out std_logic ); end clockgater; architecture ballif of clockgater is signal SregxE : std_logic; signal RoundxD: integer range 0 to 31; begin - SregxCO <= ClkxCI or SregxE; - KregxCO <= ClkxCI; + SregxCO <= SregxE; + KregxCO <= '0'; RoundxD <= to_integer(unsigned(RoundxDI)); process (ResetxRBI, RoundxD, InsxSI) begin SregxE <= '0'; if InsxSI = "00" then if RoundxD = 16 or RoundxD = 17 or RoundxD = 18 then SregxE <= '1'; end if; end if; if InsxSI = "10" then if RoundxD = 6 or RoundxD = 7 or RoundxD = 8 then SregxE <= '1'; end if; end if; end process; end architecture ballif; diff --git a/4_SC1_KC1/HDL/RTL/controller.vhd b/4_SC1_KC1/src/controller.vhd similarity index 98% rename from 4_SC1_KC1/HDL/RTL/controller.vhd rename to 4_SC1_KC1/src/controller.vhd index ca633c5..43f40d3 100644 --- a/4_SC1_KC1/HDL/RTL/controller.vhd +++ b/4_SC1_KC1/src/controller.vhd @@ -1,175 +1,176 @@ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.all; entity controller is port( InsxSI : in std_logic_vector(1 downto 0); ResetxRBI : in std_logic; ClkxCI : in std_logic; SregxS : out std_logic_vector(2 downto 0); KregxS : out std_logic_vector(1 downto 0); EncxE : out std_logic; DecxE : out std_logic; KeySchEncxEO : out std_logic; KeySchDecxEO : out std_logic; t4xE : out std_logic; CTrdyxSO : out std_logic; RconExD : out std_logic_vector(7 downto 0); RconDxD : out std_logic_vector(7 downto 0); SregxCO: out std_logic; KregxCO: out std_logic ); end controller; architecture ballif of controller is signal RoundxDP, RoundxDN: integer range 0 to 31; signal RoundxD : std_logic_vector(4 downto 0); signal KeySchEncxE, KeySchDecxE : std_logic; subtype Int8Type is integer range 0 to 255; type RconType is array (0 to 25) of Int8Type; constant RconE0 : RconType := (0, 1,2,4,8,16, 32,64,128,27,54, 0,0,0,0,64, 128,27,54, 108, 216,171,77,154,47, 0); constant RconE1 : RconType := (0, 0,0,0,0,0, 0,0,0,0,0, 54,27,128,64,32, 0,0,0, 0, 0,0,0,0,0, 0); constant RconD : RconType := (0, 54,27,128,64,32, 16,8,4,2,1, 0,0,0,0,0, 0,0,0,0,0, 0,0,0,0,0); constant RconR0 : RconType := (0, 0,0,0,0,64, 128,27,54, 108, 216,171,77,154,47, 0,0,0,0,0, 0,0,0,0,0, 0); -- for KeySchEnc direction in reconstruction constant RconR1 : RconType := (0, 54,27,128,64,32, 0,0,0,0,0, 0,0,0,0,0, 0,0,0,0,0, 0,0,0,0,0); -- for KeySchDec direction in reconstruction begin KeySchEncxEO <= KeySchEncxE; KeySchDecxEO <= KeySchDecxE; RoundxD <= std_logic_vector(to_unsigned(RoundxDP, 5)); clockgater0: entity clockgater (ballif) port map(RoundxD, InsxSI, ResetxRBI, ClkxCI, SregxCO, KregxCO); process (RoundxDP, InsxSI) begin if InsxSI = "00" then RconExD <= std_logic_vector(to_unsigned(RconE0(RoundxDP),8)); RconDxD <= std_logic_vector(to_unsigned(RconE1(RoundxDP),8)); elsif InsxSI = "01" then RconDxD <= std_logic_vector(to_unsigned(RconD(RoundxDP),8)); elsif InsxSI = "10" then RconExD <= std_logic_vector(to_unsigned(RconR0(RoundxDP),8)); RconDxD <= std_logic_vector(to_unsigned(RconR1(RoundxDP),8)); end if; end process; -- control block for all control signals process (ResetxRBI, RoundxDP, InsxSI) begin + SregxS <= "000"; KregxS <= "00"; CTrdyxSO <= '0'; -- power save mode below (not necessary for circuit correctness) RoundxDN <= RoundxDP; t4xE <= '0'; EncxE <= '0'; DecxE <= '0'; KeySchEncxE <= '0'; KeySchDecxE <= '0'; if ResetxRBI = '1' then if InsxSI = "00" then -- enc RoundxDN <= RoundxDP + 1; SregxS <= "000"; KregxS <= "00"; EncxE <= '1'; DecxE <= '0'; KeySchEncxE <= '1'; KeySchDecxE <= '0'; t4xE <= '0'; CTrdyxSO <= '0'; case RoundxDP is when 0 => SregxS <= "100"; KregxS <= "10"; when 10 => SregxS <= "011"; CTrdyxSO <= '1'; when 11 | 12 | 13 | 14 => SregxS <= "010"; KregxS <= "01"; EncxE <= '0'; DecxE <= '1'; KeySchEncxE <= '0'; KeySchDecxE <= '1'; when 15 => SregxS <= "001"; KregxS <= "00"; EncxE <= '0'; DecxE <= '1'; KeySchEncxE <= '1'; KeySchDecxE <= '1'; when 16 | 17 | 18 => KregxS <= "00"; EncxE <= '0'; KeySchEncxE <= '1'; when 19 => SregxS <= "110"; t4xE <= '1'; when 24 => CTrdyxSO <= '1'; when 25 => EncxE <= '0'; KeySchEncxE <= '0'; RoundxDN <= 25; when others => null; end case; elsif InsxSI = "01" then --dec RoundxDN <= RoundxDP + 1; SregxS <= "010"; KregxS <= "01"; EncxE <= '0'; DecxE <= '1'; KeySchEncxE <= '0'; KeySchDecxE <= '1'; t4xE <= '0'; CTrdyxSO <= '0'; case RoundxDP is when 0 => SregxS <= "101"; KregxS <= "10"; when 10 => CTrdyxSO <= '1'; RoundxDN <= 25; when 25 => RoundxDN <= 25; when others => null; end case; elsif InsxSI = "10" then --rec0 RoundxDN <= RoundxDP + 1; SregxS <= "000"; KregxS <= "00"; EncxE <= '1'; DecxE <= '0'; KeySchEncxE <= '1'; KeySchDecxE <= '0'; t4xE <= '0'; CTrdyxSO <= '0'; case RoundxDP is when 0 => SregxS <= "101"; KregxS <= "10"; when 1 | 2 | 3 | 4 => SregxS <= "010"; KregxS <= "01"; EncxE <= '0'; DecxE <= '1'; KeySchEncxE <= '0'; KeySchDecxE <= '1'; when 5 => SregxS <= "001"; KregxS <= "00"; EncxE <= '0'; DecxE <= '1'; KeySchEncxE <= '1'; KeySchDecxE <= '1'; when 6 | 7 | 8 => KregxS <= "00"; EncxE <= '0'; when 9 => SregxS <= "110"; t4xE <= '1'; when 14 => CTrdyxSO <= '1'; RoundxDN <= 25; when 25 => RoundxDN <= 25; when others => null; end case; end if; end if; end process; process (ResetxRBI, ClkxCI) begin if ResetxRBI = '0' then RoundxDP <= 0; elsif ClkxCI'event and ClkxCI ='1' then RoundxDP <= RoundxDN; end if; end process; end architecture ballif; diff --git a/4_SC1_KC1/HDL/RTL/enc.vhd b/4_SC1_KC1/src/enc.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/enc.vhd rename to 4_SC1_KC1/src/enc.vhd diff --git a/4_SC1_KC1/HDL/RTL/forkaes.vhd b/4_SC1_KC1/src/forkaes.vhd similarity index 93% rename from 4_SC1_KC1/HDL/RTL/forkaes.vhd rename to 4_SC1_KC1/src/forkaes.vhd index 0f721e4..c4b1d82 100644 --- a/4_SC1_KC1/HDL/RTL/forkaes.vhd +++ b/4_SC1_KC1/src/forkaes.vhd @@ -1,88 +1,88 @@ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.all; entity forkaes is port( PTxDI : in std_logic_vector(127 downto 0); KeyxDI : in std_logic_vector(127 downto 0); TxDI : in std_logic_vector(63 downto 0); CTxDO : out std_logic_vector(127 downto 0); CTrdyxSO : out std_logic; InsxSI : in std_logic_vector(1 downto 0); ResetxRBI : in std_logic; ClkxCI : in std_logic ); end forkaes; architecture behav of forkaes is signal RowsxD, Rows1xD, MixedxD, Mixed1xD, BytesExD, BytesDxD, SPExD, SPDxD, PTxD: std_logic_vector(127 downto 0); signal SregxD, KregxD, CTxD : std_logic_vector(127 downto 0); signal KregxS : std_logic_vector(1 downto 0); signal SregxS : std_logic_vector(2 downto 0); -- clock signals - signal SregxC, KregxC: std_logic; + signal Sreg_en, Kreg_en: std_logic; -- some enable signals signal t4xE, EncxE, DecxE, KeySchEncxE, KeySchDecxE: std_logic; -- the following are temporary signals signal t0, t1, t2, t3, t4, t5, t6, t7, t11: std_logic_vector(127 downto 0); signal TFullxD, RoundKeyEncxD, RoundKeyDecxD : std_logic_vector(127 downto 0); signal RconExD, RconDxD : std_logic_vector(7 downto 0); constant zero128 : std_logic_vector(127 downto 0) := (others => '0'); begin t0 <= PTxDI xor KeyxDI; texpand0 : entity texpand (ballif) port map(TxDI,TFullxD); t1 <= t0 xor TFullxD; imixcol0 : entity imixcol (ballif) port map(t1, t2); andmask1: entity andmask (ballif) port map(SregxD, t4xE, t3); t11 <= TFullxD xor t3; andmask0: entity andmask (ballif) port map(RoundKeyEncxD, t4xE, t4); t5 <= t11 xor t4; -- tmp0: entity reg (ballif) port map(MixedxD, zero128, PTxD, KeyxDI, TmpxC, TmpxS, TmpxD); - sReg0: entity sreg (ballif) port map(CTxD, PTxD, Mixed1xD, RowsxD, t1, t2, t5, zero128, SregxC, SregxS, SregxD); - kReg0: entity reg (ballif) port map(RoundKeyEncxD, RoundKeyDecxD, KeyxDI, zero128, KregxC, KregxS, KregxD); + sReg0: entity sreg (ballif) port map(CTxD, PTxD, Mixed1xD, RowsxD, t1, t2, t5, zero128, ClkxCI, Sreg_en, SregxS, SregxD); + kReg0: entity reg (ballif) port map(RoundKeyEncxD, RoundKeyDecxD, KeyxDI, zero128, ClkxCI, Kreg_en, KregxS, KregxD); -- tReg0: entity Treg (ballif) port map(TxDI, TregxC, TFullxD); -- State Func. g_sbox: for i in 0 to 15 generate i_sbox: entity sboxed (ed) port map (SregxD( ((i+1)*8)-1 downto i*8), BytesExD( ((i+1)*8)-1 downto i*8), BytesDxD( ((i+1)*8)-1 downto i*8)); end generate g_sbox; andmask2: entity andmask (ballif) port map(BytesExD, EncxE, SPExD); andmask3: entity andmask (ballif) port map(BytesDxD, DecxE, SPDxD); shiftrows0: entity shiftrows (permutation) port map(SPExD, RowsxD); ishiftrows0: entity ishiftrows (permutation) port map(SPDxD, Rows1xD); mixcol0: entity mixcol (ballif) port map(RowsxD, MixedxD); t6 <= Rows1xD xor TFullxD; PTxD <= RoundKeyDecxD xor t6; imixcol1: entity imixcol (ballif) port map(PTxD, Mixed1xD); t7 <= MixedxD xor TFullxD; CTxD <= t7 xor RoundKeyEncxD; keygen0: entity keygen (ballif) port map (KregxD, RconExD, RconDxD, KeySchEncxE, KeySchDecxE, RoundKeyEncxD, RoundKeyDecxD); mux1: entity mux128 (m128) port map(CTxD, PTxD, InsxSI(0), CTxDO); - controller0 : entity controller (ballif) port map(InsxSI, ResetxRBI, ClkxCI, SregxS, KregxS, EncxE, DecxE, KeySchEncxE, KeySchDecxE, t4xE, CTrdyxSO, RconExD, RconDxD, SregxC, KregxC); + controller0 : entity controller (ballif) port map(InsxSI, ResetxRBI, ClkxCI, SregxS, KregxS, EncxE, DecxE, KeySchEncxE, KeySchDecxE, t4xE, CTrdyxSO, RconExD, RconDxD, Sreg_en, Kreg_en); end architecture behav; diff --git a/4_SC1_KC1/HDL/TBENCH/forkaes_tb.vhd b/4_SC1_KC1/src/forkaes_tb.vhd similarity index 89% rename from 4_SC1_KC1/HDL/TBENCH/forkaes_tb.vhd rename to 4_SC1_KC1/src/forkaes_tb.vhd index 485480d..2c00021 100755 --- a/4_SC1_KC1/HDL/TBENCH/forkaes_tb.vhd +++ b/4_SC1_KC1/src/forkaes_tb.vhd @@ -1,120 +1,131 @@ library std; use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; --use work.aes_pack.all; entity forkaes_tb is end forkaes_tb; architecture tb of forkaes_tb is constant clkphase: time:= 50 ns; constant resetactivetime: time:= 25 ns; file testinput, testoutput : TEXT; signal PTxD, KeyxD, CTxD : std_logic_vector (127 downto 0); signal TxD : std_logic_vector (63 downto 0); signal InsxS : std_logic_vector(1 downto 0); signal CTrdyxS : std_logic; signal ClkxC : std_logic; -- driving clock signal ResetxRB: std_logic; -- reset + signal cont_flag : boolean := true; component forkaes port( PTxDI : in std_logic_vector(127 downto 0); KeyxDI : in std_logic_vector(127 downto 0); TxDI : in std_logic_vector(63 downto 0); CTxDO : out std_logic_vector(127 downto 0); CTrdyxSO : out std_logic; InsxSI : in std_logic_vector(1 downto 0); ResetxRBI : in std_logic; ClkxCI : in std_logic ); end component; begin -- Instantiate the module under test (MUT) mut: forkaes port map ( PTxDI => PTxD, KeyxDI => KeyxD, TxDI => TxD, CTxDO => CTxD, CTrdyxSO => CTrdyxS, InsxSI => InsxS, ResetxRBI => ResetxRB, ClkxCI => ClkxC ); process begin - ClkxC <= '1'; wait for clkphase; - ClkxC <= '0'; wait for clkphase; + if cont_flag then + ClkxC <= '1'; + wait for clkphase; + ClkxC <= '0'; + wait for clkphase; + else + wait; + end if; end process; -- obtain stimulus and apply it to MUT ---------------------------------------------------------------------------- a : process variable INLine : line; variable tmp128 : std_logic_vector(127 downto 0); variable tmp2 : std_logic_vector(3 downto 0); variable tmp64 : std_logic_vector(63 downto 0); variable waitcycles : Integer; variable waitphase : time; begin + cont_flag <= true; file_open(testinput, "Testinput.txt", read_mode); - file_open(testoutput, "Testoutput2.txt", write_mode); + file_open(testoutput, "tb_output.txt", write_mode); ResetxRB <= '0'; PTxD <= (others => '0'); TxD <= (others => '0'); KeyxD <= (others => '0'); InsxS <= "00"; wait for resetactivetime; ResetxRB <= '1'; appli_loop : while not (endfile(testinput)) loop -- the structure of TB file should be: PT (hex) \n Key (hex) \n T(hex) \n Ins (bits) readline(testinput, INLine); hread(INLine, tmp128); PTxD <= tmp128; readline(testinput, INLine); hread(INLine, tmp128); KeyxD <= tmp128; readline(testinput, INLine); hread(INLine, tmp64); TxD <= tmp64; readline(testinput, INLine); hread(INLine, tmp2); InsxS <= tmp2(1 downto 0); if tmp2 = x"0" then wait for 2*10*clkphase; hwrite(INLine, CTxD); writeline(testoutput, INLine); wait for 2*14*clkphase; hwrite(INLine, CTxD); writeline(testoutput, INLine); wait for 2*clkphase - resetactivetime; elsif tmp2 = x"1" then wait for 2*10*clkphase; hwrite(INLine, CTxD); writeline(testoutput, INLine); wait for 2*clkphase - resetactivetime; elsif tmp2 = x"2" then wait for 2*14*clkphase; hwrite(INLine, CTxD); writeline(testoutput, INLine); wait for 2*clkphase - resetactivetime; else report "halted" severity error; end if; ResetxRB <= '0'; wait for resetactivetime; ResetxRB <= '1'; end loop appli_loop; wait until ClkxC'event and ClkxC = '1'; + file_close(testinput); + file_close(testoutput); + cont_flag <= false; wait; end process a; end tb; diff --git a/4_SC1_KC1/HDL/RTL/imixcol.vhd b/4_SC1_KC1/src/imixcol.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/imixcol.vhd rename to 4_SC1_KC1/src/imixcol.vhd diff --git a/4_SC1_KC1/HDL/RTL/invmixcol.vhd b/4_SC1_KC1/src/invmixcol.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/invmixcol.vhd rename to 4_SC1_KC1/src/invmixcol.vhd diff --git a/4_SC1_KC1/HDL/RTL/ishiftrows.vhd b/4_SC1_KC1/src/ishiftrows.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/ishiftrows.vhd rename to 4_SC1_KC1/src/ishiftrows.vhd diff --git a/4_SC1_KC1/HDL/RTL/keygen.vhd b/4_SC1_KC1/src/keygen.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/keygen.vhd rename to 4_SC1_KC1/src/keygen.vhd diff --git a/4_SC1_KC1/HDL/RTL/mixcol.vhd b/4_SC1_KC1/src/mixcol.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/mixcol.vhd rename to 4_SC1_KC1/src/mixcol.vhd diff --git a/4_SC1_KC1/HDL/RTL/mixcolumn.vhd b/4_SC1_KC1/src/mixcolumn.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/mixcolumn.vhd rename to 4_SC1_KC1/src/mixcolumn.vhd diff --git a/4_SC1_KC1/HDL/RTL/mux128.vhd b/4_SC1_KC1/src/mux128.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/mux128.vhd rename to 4_SC1_KC1/src/mux128.vhd diff --git a/4_SC1_KC1/HDL/RTL/reg.vhd b/4_SC1_KC1/src/reg.vhd similarity index 89% rename from 4_SC1_KC1/HDL/RTL/reg.vhd rename to 4_SC1_KC1/src/reg.vhd index 338d672..e1c7289 100644 --- a/4_SC1_KC1/HDL/RTL/reg.vhd +++ b/4_SC1_KC1/src/reg.vhd @@ -1,45 +1,46 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use work.all; entity reg is port ( D0xDI : in std_logic_vector (127 downto 0); D1xDI : in std_logic_vector (127 downto 0); D2xDI : in std_logic_vector (127 downto 0); D3xDI : in std_logic_vector (127 downto 0); ClkxCI : in std_logic; +En : in std_logic; SelxSI : in std_logic_vector(1 downto 0); StatexDP : out std_logic_vector (127 downto 0)); end entity reg; architecture ballif of reg is begin -p_clk: process (SelxSI, ClkxCI) +p_clk: process (ClkxCI) begin - if ClkxCI'event and ClkxCI ='1' then + if ClkxCI'event and ClkxCI ='1' and En ='0' then if SelxSI = "00" then StatexDP <= D0xDI; elsif SelxSI = "01" then StatexDP <= D1xDI; elsif SelxSI = "10" then StatexDP <= D2xDI; elsif SelxSI = "11" then StatexDP <= D3xDI; end if; end if; end process p_clk; end architecture ballif; diff --git a/4_SC1_KC1/HDL/RTL/sbox.vhd b/4_SC1_KC1/src/sbox.vhd similarity index 99% rename from 4_SC1_KC1/HDL/RTL/sbox.vhd rename to 4_SC1_KC1/src/sbox.vhd index fe0d46c..14f6cb7 100755 --- a/4_SC1_KC1/HDL/RTL/sbox.vhd +++ b/4_SC1_KC1/src/sbox.vhd @@ -1,302 +1,302 @@ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; use std.textio.all; use work.all; entity sbox is port ( InpxDI : in std_logic_vector(7 downto 0); OupxDO : out std_logic_vector(7 downto 0)); end entity sbox; architecture ed of sbox is signal PinxD,PoutxD: std_logic_vector( 255 downto 0); signal C1xD,C2xD,D1xD,D2xD: std_logic_vector(3 downto 0); signal P1xD,P2xD: std_logic_vector (15 downto 0); signal NAxD: std_logic_vector (7 downto 0); signal IxD: integer range 0 to 255; signal E1xD,F1xD: std_logic_vector (63 downto 0); signal G1xD,E2xD,F2xD,GF2xD : std_logic_vector(31 downto 0); signal H1xD,E3xD,F3xD,GF3xD,HF2xD,HGF3xD : std_logic_vector(15 downto 0); signal I1xD,E4xD,F4xD,GF4xD,HGF4xD,IF2xD,IGF3xD,IHGF4xD : std_logic_vector(7 downto 0); signal J1xD,E5xD,F5xD,GF5xD,HGF5xD,IHGF5xD,JF2xD,JGF3xD,JHGF4xD,JIHGF5xD : std_logic_vector(3 downto 0); signal K1xD,E6xD,F6xD,GF6xD,HGF6xD,IHGF6xD,JIHGF6xD,KF2xD,KGF3xD,KHGF4xD,KIHGF5xD,KJIHGF6xD : std_logic_vector(1 downto 0); signal L1xD,LF2xD,LGF3xD,LHGF4xD,LIHGF5xD,LJIHGF6xD : std_logic; subtype Int8Type is integer range 0 to 255; type Int8Array is array (0 to 255) of Int8Type; - constant SBOX : Int8Array := ( + constant SBOX_table : Int8Array := ( 99, 124, 119, 123, 242, 107, 111, 197, 48, 1, 103, 43, 254, 215, 171, 118, 202, 130, 201, 125, 250, 89, 71, 240, 173, 212, 162, 175, 156, 164, 114, 192, 183, 253, 147, 38, 54, 63, 247, 204, 52, 165, 229, 241, 113, 216, 49, 21, 4, 199, 35, 195, 24, 150, 5, 154, 7, 18, 128, 226, 235, 39, 178, 117, 9, 131, 44, 26, 27, 110, 90, 160, 82, 59, 214, 179, 41, 227, 47, 132, 83, 209, 0, 237, 32, 252, 177, 91, 106, 203, 190, 57, 74, 76, 88, 207, 208, 239, 170, 251, 67, 77, 51, 133, 69, 249, 2, 127, 80, 60, 159, 168, 81, 163, 64, 143, 146, 157, 56, 245, 188, 182, 218, 33, 16, 255, 243, 210, 205, 12, 19, 236, 95, 151, 68, 23, 196, 167, 126, 61, 100, 93, 25, 115, 96, 129, 79, 220, 34, 42, 144, 136, 70, 238, 184, 20, 222, 94, 11, 219, 224, 50, 58, 10, 73, 6, 36, 92, 194, 211, 172, 98, 145, 149, 228, 121, 231, 200, 55, 109, 141, 213, 78, 169, 108, 86, 244, 234, 101, 122, 174, 8, 186, 120, 37, 46, 28, 166, 180, 198, 232, 221, 116, 31, 75, 189, 139, 138, 112, 62, 181, 102, 72, 3, 246, 14, 97, 53, 87, 185, 134, 193, 29, 158, 225, 248, 152, 17, 105, 217, 142, 148, 155, 30, 135, 233, 206, 85, 40, 223, 140, 161, 137, 13, 191, 230, 66, 104, 65, 153, 45, 15, 176, 84, 187, 22 ); begin NAxD <= not InpxDI; a000: C1xD(0) <= NAxD(0) and NAxD(1) ; a001: C1xD(1) <= InpxDI(0) and NAxD(1) ; a002: C1xD(2) <= NAxD(0) and InpxDI(1) ; a003: C1xD(3) <= InpxDI(0) and InpxDI(1) ; x000: D1xD(0) <= NAxD(2) and NAxD(3) ; x001: D1xD(1) <= InpxDI(2) and NAxD(3) ; x002: D1xD(2) <= NAxD(2) and InpxDI(3) ; x003: D1xD(3) <= InpxDI(2) and InpxDI(3) ; y000: P1xD(0) <= C1xD(0) and D1xD(0); y001: P1xD(1) <= C1xD(1) and D1xD(0); y002: P1xD(2) <= C1xD(2) and D1xD(0); y003: P1xD(3) <= C1xD(3) and D1xD(0); y004: P1xD(4) <= C1xD(0) and D1xD(1); y005: P1xD(5) <= C1xD(1) and D1xD(1); y006: P1xD(6) <= C1xD(2) and D1xD(1); y007: P1xD(7) <= C1xD(3) and D1xD(1); y008: P1xD(8) <= C1xD(0) and D1xD(2); y009: P1xD(9) <= C1xD(1) and D1xD(2); y010: P1xD(10) <= C1xD(2) and D1xD(2); y011: P1xD(11) <= C1xD(3) and D1xD(2); y012: P1xD(12) <= C1xD(0) and D1xD(3); y013: P1xD(13) <= C1xD(1) and D1xD(3); y014: P1xD(14) <= C1xD(2) and D1xD(3); y015: P1xD(15) <= C1xD(3) and D1xD(3); b000: C2xD(0) <= NAxD(4) and NAxD(5) ; b001: C2xD(1) <= InpxDI(4) and NAxD(5) ; b002: C2xD(2) <= NAxD(4) and InpxDI(5) ; b003: C2xD(3) <= InpxDI(4) and InpxDI(5) ; w000: D2xD(0) <= NAxD(6) and NAxD(7) ; w001: D2xD(1) <= InpxDI(6) and NAxD(7) ; w002: D2xD(2) <= NAxD(6) and InpxDI(7) ; w003: D2xD(3) <= InpxDI(6) and InpxDI(7) ; z000: P2xD(0) <= C2xD(0) and D2xD(0); z001: P2xD(1) <= C2xD(1) and D2xD(0); z002: P2xD(2) <= C2xD(2) and D2xD(0); z003: P2xD(3) <= C2xD(3) and D2xD(0); z004: P2xD(4) <= C2xD(0) and D2xD(1); z005: P2xD(5) <= C2xD(1) and D2xD(1); z006: P2xD(6) <= C2xD(2) and D2xD(1); z007: P2xD(7) <= C2xD(3) and D2xD(1); z008: P2xD(8) <= C2xD(0) and D2xD(2); z009: P2xD(9) <= C2xD(1) and D2xD(2); z010: P2xD(10) <= C2xD(2) and D2xD(2); z011: P2xD(11) <= C2xD(3) and D2xD(2); z012: P2xD(12) <= C2xD(0) and D2xD(3); z013: P2xD(13) <= C2xD(1) and D2xD(3); z014: P2xD(14) <= C2xD(2) and D2xD(3); z015: P2xD(15) <= C2xD(3) and D2xD(3); i_loop : for i in 0 to 15 generate j_loop : for j in 0 to 15 generate PinxD((16*j + i)) <= P1xD(i) nand P2xD(j); end generate j_loop; end generate i_loop; ------ k_loop : for k in 0 to 255 generate -PoutxD(SBOX(k)) <= PinxD(k); +PoutxD(SBOX_table(k)) <= PinxD(k); end generate k_loop; ------ l_loop : for l in 0 to 63 generate E1xD(l) <= PoutxD(4*l + 1) and PoutxD(4*l + 3); F1xD(l) <= PoutxD(4*l + 2) and PoutxD(4*l + 3); end generate l_loop; m_loop : for m in 0 to 31 generate G1xD(m) <= PoutxD(8*m + 4) and PoutxD(8*m + 5); end generate m_loop; n_loop : for n in 0 to 15 generate H1xD(n) <= PoutxD(16*n + 8) and PoutxD(16*n + 9); end generate n_loop; o_loop : for o in 0 to 7 generate I1xD(o) <= PoutxD(32*o + 16) and PoutxD(32*o + 17); end generate o_loop; p_loop : for p in 0 to 3 generate J1xD(p) <= PoutxD(64*p + 32) and PoutxD(64*p + 33); end generate p_loop; q_loop : for q in 0 to 1 generate K1xD(q) <= PoutxD(128*q + 64) and PoutxD(128*q + 65); end generate q_loop; L1xD <= PoutxD(128) and PoutxD(129); r_loop : for r in 0 to 31 generate E2xD(r) <= E1xD(2*r) and E1xD (2*r+1); F2xD(r) <= F1xD(2*r) and F1xD (2*r+1); GF2xD(r) <= G1xD(r) and F1xD (2*r+1); end generate r_loop; s_loop : for s in 0 to 15 generate E3xD(s) <= E2xD(2*s) and E2xD (2*s+1); F3xD(s) <= F2xD(2*s) and F2xD (2*s+1); HF2xD(s) <= H1xD(s) and F1xD (4*s+2); GF3xD(s) <= GF2xD(2*s) and GF2xD(2*s+1); HGF3xD(s) <= HF2xD(s) and GF2xD(2*s+1); end generate s_loop; t_loop : for t in 0 to 7 generate E4xD(t) <= E3xD(2*t) and E3xD (2*t+1); F4xD(t) <= F3xD(2*t) and F3xD (2*t+1); IF2xD(t) <= I1xD(t) and F1xD(8*t+4); GF4xD(t) <= GF3xD(2*t) and GF3xD(2*t+1); HGF4xD(t) <= HGF3xD(2*t) and HGF3xD(2*t+1); IGF3xD(t) <= IF2xD(t) and GF2xD(4*t+2); IHGF4xD(t) <= IGF3xD(t) and HGF3xD(2*t+1); end generate t_loop; u_loop : for u in 0 to 3 generate E5xD(u) <= E4xD(2*u) and E4xD (2*u+1); F5xD(u) <= F4xD(2*u) and F4xD (2*u+1); JF2xD(u) <= J1xD(u) and F1xD(16*u+8); GF5xD(u) <= GF4xD(2*u) and GF4xD (2*u+1); HGF5xD(u) <= HGF4xD(2*u) and HGF4xD (2*u+1); JGF3xD(u) <= JF2xD(u) and GF2xD(8*u+4); JHGF4xD(u) <= JGF3xD(u) and HGF3xD(4*u+2); JIHGF5xD(u) <= JHGF4xD(u) and IHGF4xD(2*u+1); IHGF5xD(u) <= IHGF4xD(2*u) and IHGF4xD (2*u+1); end generate u_loop; v_loop : for v in 0 to 1 generate E6xD(v) <= E5xD(2*v) and E5xD (2*v+1); F6xD(v) <= F5xD(2*v) and F5xD (2*v+1); KF2xD(v) <= K1xD(v) and F1xD(32*v+16); GF6xD(v) <= GF5xD(2*v) and GF5xD (2*v+1); HGF6xD(v) <= HGF5xD(2*v) and HGF5xD (2*v+1); IHGF6xD(v) <= IHGF5xD(2*v) and IHGF5xD (2*v+1); JIHGF6xD(v) <= JIHGF5xD(2*v) and JIHGF5xD(2*v+1); KGF3xD(v) <= KF2xD(v) and GF2xD(16*v+8); KHGF4xD(v) <= KGF3xD(v) and HGF3xD(8*v+4); KIHGF5xD(v) <= KHGF4xD(v) and IHGF4xD(4*v+2); KJIHGF6xD(v) <= KIHGF5xD(v) and JIHGF5xD(2*v+1); end generate v_loop; OupxDO(0) <= E6xD(0) nand E6xD(1); OupxDO(1) <= F6xD(0) nand F6xD(1); OupxDO(2) <= GF6xD(0) nand GF6xD(1); OupxDO(3) <= HGF6xD(0) nand HGF6xD(1); OupxDO(4) <= IHGF6xD(0) nand IHGF6xD(1); OupxDO(5) <= JIHGF6xD(0) nand JIHGF6xD(1); OupxDO(6) <= KJIHGF6xD(0) nand KJIHGF6xD(1); LF2xD <= L1xD and F1xD(32); LGF3xD <= LF2xD and GF2xD(16); LHGF4xD <= LGF3xD and HGF3xD(8); LIHGF5xD <= LHGF4xD and IHGF4xD(4); LJIHGF6xD <= LIHGF5xD and JIHGF5xD(2); OupxDO(7)<= LJIHGF6xD nand KJIHGF6xD(1); end architecture ed; diff --git a/4_SC1_KC1/HDL/RTL/sboxed.vhd b/4_SC1_KC1/src/sboxed.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/sboxed.vhd rename to 4_SC1_KC1/src/sboxed.vhd diff --git a/4_SC1_KC1/HDL/RTL/shiftrows.vhd b/4_SC1_KC1/src/shiftrows.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/shiftrows.vhd rename to 4_SC1_KC1/src/shiftrows.vhd diff --git a/4_SC1_KC1/HDL/RTL/sreg.vhd b/4_SC1_KC1/src/sreg.vhd similarity index 92% rename from 4_SC1_KC1/HDL/RTL/sreg.vhd rename to 4_SC1_KC1/src/sreg.vhd index 3aefed3..9bf2c31 100644 --- a/4_SC1_KC1/HDL/RTL/sreg.vhd +++ b/4_SC1_KC1/src/sreg.vhd @@ -1,57 +1,58 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use work.all; entity sreg is port ( D0xDI : in std_logic_vector (127 downto 0); D1xDI : in std_logic_vector (127 downto 0); D2xDI : in std_logic_vector (127 downto 0); D3xDI : in std_logic_vector (127 downto 0); D4xDI : in std_logic_vector (127 downto 0); D5xDI : in std_logic_vector (127 downto 0); D6xDI : in std_logic_vector (127 downto 0); D7xDI : in std_logic_vector (127 downto 0); ClkxCI : in std_logic; +En : in std_logic; SelxSI : in std_logic_vector(2 downto 0); StatexDP : out std_logic_vector (127 downto 0)); end entity sreg; architecture ballif of sreg is begin -p_clk: process (SelxSI, ClkxCI) +p_clk: process (ClkxCI) begin - if ClkxCI'event and ClkxCI ='1' then + if ClkxCI'event and ClkxCI ='1' and En='0' then if SelxSI = "000" then StatexDP <= D0xDI; elsif SelxSI = "001" then StatexDP <= D1xDI; elsif SelxSI = "010" then StatexDP <= D2xDI; elsif SelxSI = "011" then StatexDP <= D3xDI; elsif SelxSI = "100" then StatexDP <= D4xDI; elsif SelxSI = "101" then StatexDP <= D5xDI; elsif SelxSI = "110" then StatexDP <= D6xDI; elsif SelxSI = "111" then StatexDP <= D7xDI; end if; end if; end process p_clk; end architecture ballif; diff --git a/4_SC1_KC1/HDL/RTL/texpand.vhd b/4_SC1_KC1/src/texpand.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/texpand.vhd rename to 4_SC1_KC1/src/texpand.vhd diff --git a/4_SC1_KC1/HDL/RTL/xtime.vhd b/4_SC1_KC1/src/xtime.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/xtime.vhd rename to 4_SC1_KC1/src/xtime.vhd diff --git a/4_SC1_KC1/HDL/RTL/xxtime.vhd b/4_SC1_KC1/src/xxtime.vhd similarity index 100% rename from 4_SC1_KC1/HDL/RTL/xxtime.vhd rename to 4_SC1_KC1/src/xxtime.vhd diff --git a/4_SC1_KC1/test_cases/case_generator.c b/4_SC1_KC1/test_cases/case_generator.c deleted file mode 100644 index 05426a7..0000000 --- a/4_SC1_KC1/test_cases/case_generator.c +++ /dev/null @@ -1,457 +0,0 @@ -#include -#include -#include - -unsigned char sbox[256] = -{ - 0x63, 0x7C, 0x77, 0x7B, 0xF2, 0x6B, 0x6F, 0xC5, 0x30, 0x01, 0x67, 0x2B, 0xFE, 0xD7, 0xAB, 0x76, - 0xCA, 0x82, 0xC9, 0x7D, 0xFA, 0x59, 0x47, 0xF0, 0xAD, 0xD4, 0xA2, 0xAF, 0x9C, 0xA4, 0x72, 0xC0, - 0xB7, 0xFD, 0x93, 0x26, 0x36, 0x3F, 0xF7, 0xCC, 0x34, 0xA5, 0xE5, 0xF1, 0x71, 0xD8, 0x31, 0x15, - 0x04, 0xC7, 0x23, 0xC3, 0x18, 0x96, 0x05, 0x9A, 0x07, 0x12, 0x80, 0xE2, 0xEB, 0x27, 0xB2, 0x75, - 0x09, 0x83, 0x2C, 0x1A, 0x1B, 0x6E, 0x5A, 0xA0, 0x52, 0x3B, 0xD6, 0xB3, 0x29, 0xE3, 0x2F, 0x84, - 0x53, 0xD1, 0x00, 0xED, 0x20, 0xFC, 0xB1, 0x5B, 0x6A, 0xCB, 0xBE, 0x39, 0x4A, 0x4C, 0x58, 0xCF, - 0xD0, 0xEF, 0xAA, 0xFB, 0x43, 0x4D, 0x33, 0x85, 0x45, 0xF9, 0x02, 0x7F, 0x50, 0x3C, 0x9F, 0xA8, - 0x51, 0xA3, 0x40, 0x8F, 0x92, 0x9D, 0x38, 0xF5, 0xBC, 0xB6, 0xDA, 0x21, 0x10, 0xFF, 0xF3, 0xD2, - 0xCD, 0x0C, 0x13, 0xEC, 0x5F, 0x97, 0x44, 0x17, 0xC4, 0xA7, 0x7E, 0x3D, 0x64, 0x5D, 0x19, 0x73, - 0x60, 0x81, 0x4F, 0xDC, 0x22, 0x2A, 0x90, 0x88, 0x46, 0xEE, 0xB8, 0x14, 0xDE, 0x5E, 0x0B, 0xDB, - 0xE0, 0x32, 0x3A, 0x0A, 0x49, 0x06, 0x24, 0x5C, 0xC2, 0xD3, 0xAC, 0x62, 0x91, 0x95, 0xE4, 0x79, - 0xE7, 0xC8, 0x37, 0x6D, 0x8D, 0xD5, 0x4E, 0xA9, 0x6C, 0x56, 0xF4, 0xEA, 0x65, 0x7A, 0xAE, 0x08, - 0xBA, 0x78, 0x25, 0x2E, 0x1C, 0xA6, 0xB4, 0xC6, 0xE8, 0xDD, 0x74, 0x1F, 0x4B, 0xBD, 0x8B, 0x8A, - 0x70, 0x3E, 0xB5, 0x66, 0x48, 0x03, 0xF6, 0x0E, 0x61, 0x35, 0x57, 0xB9, 0x86, 0xC1, 0x1D, 0x9E, - 0xE1, 0xF8, 0x98, 0x11, 0x69, 0xD9, 0x8E, 0x94, 0x9B, 0x1E, 0x87, 0xE9, 0xCE, 0x55, 0x28, 0xDF, - 0x8C, 0xA1, 0x89, 0x0D, 0xBF, 0xE6, 0x42, 0x68, 0x41, 0x99, 0x2D, 0x0F, 0xB0, 0x54, 0xBB, 0x16 -}; - - -unsigned char isbox[256] = -{ - 0x52, 0x09, 0x6A, 0xD5, 0x30, 0x36, 0xA5, 0x38, 0xBF, 0x40, 0xA3, 0x9E, 0x81, 0xF3, 0xD7, 0xFB, - 0x7C, 0xE3, 0x39, 0x82, 0x9B, 0x2F, 0xFF, 0x87, 0x34, 0x8E, 0x43, 0x44, 0xC4, 0xDE, 0xE9, 0xCB, - 0x54, 0x7B, 0x94, 0x32, 0xA6, 0xC2, 0x23, 0x3D, 0xEE, 0x4C, 0x95, 0x0B, 0x42, 0xFA, 0xC3, 0x4E, - 0x08, 0x2E, 0xA1, 0x66, 0x28, 0xD9, 0x24, 0xB2, 0x76, 0x5B, 0xA2, 0x49, 0x6D, 0x8B, 0xD1, 0x25, - 0x72, 0xF8, 0xF6, 0x64, 0x86, 0x68, 0x98, 0x16, 0xD4, 0xA4, 0x5C, 0xCC, 0x5D, 0x65, 0xB6, 0x92, - 0x6C, 0x70, 0x48, 0x50, 0xFD, 0xED, 0xB9, 0xDA, 0x5E, 0x15, 0x46, 0x57, 0xA7, 0x8D, 0x9D, 0x84, - 0x90, 0xD8, 0xAB, 0x00, 0x8C, 0xBC, 0xD3, 0x0A, 0xF7, 0xE4, 0x58, 0x05, 0xB8, 0xB3, 0x45, 0x06, - 0xD0, 0x2C, 0x1E, 0x8F, 0xCA, 0x3F, 0x0F, 0x02, 0xC1, 0xAF, 0xBD, 0x03, 0x01, 0x13, 0x8A, 0x6B, - 0x3A, 0x91, 0x11, 0x41, 0x4F, 0x67, 0xDC, 0xEA, 0x97, 0xF2, 0xCF, 0xCE, 0xF0, 0xB4, 0xE6, 0x73, - 0x96, 0xAC, 0x74, 0x22, 0xE7, 0xAD, 0x35, 0x85, 0xE2, 0xF9, 0x37, 0xE8, 0x1C, 0x75, 0xDF, 0x6E, - 0x47, 0xF1, 0x1A, 0x71, 0x1D, 0x29, 0xC5, 0x89, 0x6F, 0xB7, 0x62, 0x0E, 0xAA, 0x18, 0xBE, 0x1B, - 0xFC, 0x56, 0x3E, 0x4B, 0xC6, 0xD2, 0x79, 0x20, 0x9A, 0xDB, 0xC0, 0xFE, 0x78, 0xCD, 0x5A, 0xF4, - 0x1F, 0xDD, 0xA8, 0x33, 0x88, 0x07, 0xC7, 0x31, 0xB1, 0x12, 0x10, 0x59, 0x27, 0x80, 0xEC, 0x5F, - 0x60, 0x51, 0x7F, 0xA9, 0x19, 0xB5, 0x4A, 0x0D, 0x2D, 0xE5, 0x7A, 0x9F, 0x93, 0xC9, 0x9C, 0xEF, - 0xA0, 0xE0, 0x3B, 0x4D, 0xAE, 0x2A, 0xF5, 0xB0, 0xC8, 0xEB, 0xBB, 0x3C, 0x83, 0x53, 0x99, 0x61, - 0x17, 0x2B, 0x04, 0x7E, 0xBA, 0x77, 0xD6, 0x26, 0xE1, 0x69, 0x14, 0x63, 0x55, 0x21, 0x0C, 0x7D - -}; - - -unsigned char rcon[18]={0x0,0x1,0x2,0x4,0x8,0x10,0x20,0x40,0x80,0x1B,0x36,0x6C,0xD8,0xAB,0x4D,0x9A,0x2F,0x5E}; - - -void rotate(unsigned char *in) { - unsigned char a,c; - a = in[0]; - for(c=0;c<3;c++) - in[c] = in[c + 1]; - in[3] = a; -} - -/* This is the core key expansion, which, given a 4-byte value, - * does some scrambling */ -void schedule_core(unsigned char *in, unsigned char i) { - char a; - /* Rotate the input 8 bits to the left */ - rotate(in); - /* Apply Rijndael's s-box on all 4 bytes */ - for(a = 0; a < 4; a++) - in[a] = sbox[in[a]]; - /* On just the first byte, add 2^i to the byte */ - in[0] ^= rcon[i]; -} - - -void expand_key(unsigned char *in) { - unsigned char t[4]; - /* c is 16 because the first sub-key is the user-supplied key */ - unsigned int c = 16; - unsigned char i = 1; - unsigned char a; - - /* We need 17 sets of sixteen bytes each for 128-bit mode */ - while(c < 272) { - /* Copy the temporary variable over from the last 4-byte - * block */ - - for(a = 0; a < 4; a++) - t[a] = in[a + c - 4]; - /* Every four blocks (of four bytes), - * do a complex calculation */ - if(c % 16 == 0) { - schedule_core(t,i); - i++; - } - for(a = 0; a < 4; a++) { - in[c] = in[c - 16] ^ t[a]; - c++; - } - } -} - -unsigned char gmul2( unsigned char c) - -{ -unsigned char b; - b = c & 0x80; - c <<= 1; - if(b == 0x80) { - c ^= 0x1b; - } - -return c; -} - - -unsigned char gmul4( unsigned char c) -{ -unsigned char b; - b = c & 0x80; - c <<= 1; - if(b == 0x80) { - c ^= 0x1b; - } - - b = c & 0x80; - c <<= 1; - if(b == 0x80) { - c ^= 0x1b; - } - -return c; -} - - - -void Mcol (unsigned char* CT) -{ - - -unsigned char y1,y2,y3,y4,q0,q1,q2,q3; -int i; - -for(i=0;i<16;i+=4) { - y1 = gmul2(CT[i+0]) ^ CT[i+2] ; - y2 = gmul2(CT[i+1]) ^ CT[i+3] ; - - y3 = gmul2(CT[i+2]) ^ CT[i+0] ; - y4 = gmul2(CT[i+3]) ^ CT[i+1] ; - - q0 = y1^y2^CT[i+1]; q1= y2^y3^CT[i+2]; q2 = y3^y4^CT[i+3]; q3= y4^y1^CT[i+0]; - CT[i+0]=q0; CT[i+1]=q1;CT[i+2]=q2;CT[i+3]=q3; - - -} - - -} - - -void IMcol (unsigned char* CT) { - unsigned char y0,y1,y2,y3,y4,q0,q1,q2,q3; - int i; - - for(i=0;i<16;i+=4) { - y3 = gmul4 (CT[i+0] ^ CT[i+2]) ^ CT[i+0]; y2 = gmul4(CT[i+1] ^ CT[i+3]) ^ CT[i+1] ; - y1 = gmul4 (CT[i+0] ^ CT[i+2]) ^ CT[i+2]; y0 = gmul4(CT[i+1] ^ CT[i+3]) ^ CT[i+3] ; - - CT[i+0]=y3; CT[i+1]=y2; CT[i+2]=y1; CT[i+3]=y0; - - y1 = gmul2(CT[i+0]) ^ CT[i+2] ; - y2 = gmul2(CT[i+1]) ^ CT[i+3] ; - - y3 = gmul2(CT[i+2]) ^ CT[i+0] ; - y4 = gmul2(CT[i+3]) ^ CT[i+1] ; - - q0 = y1^y2^CT[i+1]; q1= y2^y3^CT[i+2]; q2 = y3^y4^CT[i+3]; q3= y4^y1^CT[i+0]; - CT[i+0]=q0; CT[i+1]=q1;CT[i+2]=q2;CT[i+3]=q3; - } -} - - -void SRow (unsigned char* CT) { - unsigned char t1,t2; - t1 = CT[1]; CT[1]=CT[5]; CT[5]=CT[9]; CT[9]=CT[13];CT[13]=t1; - t1 = CT[2]; CT[2]=CT[10]; t2= CT[6]; CT[6]=CT[14]; CT[10]= t1; CT[14] = t2; - t1 = CT[15]; CT[15]=CT[11]; CT[11]=CT[7]; CT[7] = CT[3]; CT[3]=t1; -} - - -void ISRow (unsigned char* CT) { - unsigned char t1,t2; - t1 = CT[13]; CT[13]=CT[9]; CT[9]=CT[5]; CT[5]=CT[1];CT[1]=t1; - t1 = CT[2]; CT[2]=CT[10]; t2= CT[6]; CT[6]=CT[14]; CT[10]= t1; CT[14] = t2; - t1 = CT[3]; CT[3]=CT[7]; CT[7]=CT[11]; CT[11] = CT[15]; CT[15]=t1; -} - -void SByte (unsigned char* CT) { - int i; - for(i=0;i<16;i++) CT[i] = sbox[ CT[i] ]; -} - -void ISByte (unsigned char* CT) { - int i; - for(i=0;i<16;i++) CT[i] = isbox[ CT[i] ]; -} - -void show(unsigned char * CT) { - -} - -/* Comment out the following with above to easily remove show calls -void show(unsigned char* CT) { - int i; - for(i=0;i<16;i++){printf("%02x ",CT[i]); if (i%4==3) printf(" ");} - printf("\n"); -} -*/ - -void RFunc (unsigned char* CT) { - SByte(CT); - SRow(CT); - show(CT); - Mcol(CT); - show(CT); -} - -void IRFunc (unsigned char* CT) { - show(CT); - IMcol(CT); - show(CT); - ISRow(CT); - ISByte(CT); - show(CT); -} - - - - -void Fork_enc(unsigned char* Key,unsigned char* T, unsigned char* PT, unsigned char* CT, unsigned char* IT, unsigned char* DecKey) { - - int i,round; - - unsigned char RKey[272]; - - for(i=0;i<16;i++) RKey [i]=Key[i]; - expand_key(RKey); - for(i=0;i<16;i++) DecKey[i] = RKey[160+i]; - - //printf("++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++\n"); - for(i=0;i<17;i++)show(RKey+16*i); - //printf("++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++\n"); - - - for(i=0;i<16;i++)CT[i]=PT[i]; - - - for(round=0;round<=4;round++) { - for(i=0;i<16;i++) CT[i] ^= (RKey[i+round*16]^T[i]); - //show(CT); - RFunc(CT); - //show(CT); - //printf("=====\n"); - } - - //printf("================================================\n"); - - for(i=0;i<16;i++)IT[i]=CT[i]; - - for(round=5;round<=9;round++) { - for(i=0;i<16;i++) CT[i] ^= (RKey[i+round*16]^T[i]); - RFunc(CT); - //show(CT); - //printf("=====\n"); - } - - - for(i=0;i<16;i++) CT[i] ^= (RKey[i+10*16]^T[i]); //CT1 - //show(CT); - - //printf("================================================\n"); - - //printf("%02x %02x %02x \n", IT[0],T[0], RKey[176]); - - for(round=11;round<=15;round++) { - for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); - //show(IT); - RFunc(IT); - //show(IT); - //printf("=====\n"); - } - - - for(i=0;i<16;i++) IT[i] ^= (RKey[i+16*16]^T[i]); //CT2 - show(IT); - //printf("================================================\n"); - -} - - -void Fork_dec0(unsigned char* Key,unsigned char* T, unsigned char* CT, unsigned char* PT ) { - - int i,round; - - unsigned char RKey[272]; - - for(i=0;i<16;i++) RKey [i]=Key[i]; - expand_key(RKey); - - - for(i=0;i<16;i++)PT[i]=CT[i]; - - - for(round=10;round>=1;round--){ - show(RKey + round*16); - for(i=0;i<16;i++) PT[i] ^= (RKey[i+round*16]^T[i]); - IRFunc(PT); - //printf("=====\n"); - } - show(RKey); - for(i=0;i<16;i++) PT[i] ^= (RKey[i+0*16]^T[i]); //PT - show(PT); -} - - -void Fork_rec0(unsigned char* Key,unsigned char* T, unsigned char* IT, unsigned char* CT ) { - - int i,round; - - unsigned char RKey[272]; - - for(i=0;i<16;i++) RKey [i]=Key[i]; - expand_key(RKey); - - - - for(i=0;i<16;i++)IT[i]=CT[i]; - - for(round=10;round>=6;round--) { - for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); - show(RKey + round*16); - IRFunc(IT); - //printf("=====\n"); - } - for(i=0;i<16;i++) IT[i] ^= (RKey[i+5*16]^T[i]); - show(RKey + 5*16); - show(IT); - //printf("=====\n"); - for(round=11;round<=15;round++) { - for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); - show(RKey + round*16); - RFunc(IT); - //printf("=====\n"); - } - for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); - show(RKey + round*16); - show(IT); - //printf("=====\n"); -} - - -void save_enc(FILE *in, FILE* out, unsigned char* Key, unsigned char* Tweak, unsigned char* PT, unsigned char* CT, unsigned char* IT) { - int i; - for(i=0;i<16;i++) fprintf(in, "%02X", PT[i]); fprintf(in, "\n"); - for(i=0;i<16;i++) fprintf(in, "%02X", Key[i]); fprintf(in, "\n"); - for(i=0;i<8;i++) fprintf(in, "%02X", Tweak[i]); fprintf(in, "\n"); - fprintf(in, "%01X", 0); fprintf(in, "\n"); - for(i=0;i<16;i++) fprintf(out, "%02X", CT[i]); fprintf(out, "\n"); - for(i=0;i<16;i++) fprintf(out, "%02X", IT[i]); fprintf(out, "\n"); -} - -void save_dec(FILE *in, FILE* out, unsigned char* DecKey, unsigned char* Tweak, unsigned char* PT, unsigned char* CT) { - int i; - for(i=0;i<16;i++) fprintf(in, "%02X", CT[i]); fprintf(in, "\n"); - for(i=0;i<16;i++) fprintf(in, "%02X", DecKey[i]); fprintf(in, "\n"); - for(i=0;i<8;i++) fprintf(in, "%02X", Tweak[i]); fprintf(in, "\n"); - fprintf(in, "%01X", 1); fprintf(in, "\n"); - for(i=0;i<16;i++) fprintf(out, "%02X", PT[i]); fprintf(out, "\n"); -} - -void save_rec(FILE *in, FILE* out, unsigned char* DecKey, unsigned char* Tweak, unsigned char* IT, unsigned char* CT) { - int i; - for(i=0;i<16;i++) fprintf(in, "%02X", CT[i]); fprintf(in, "\n"); - for(i=0;i<16;i++) fprintf(in, "%02X", DecKey[i]); fprintf(in, "\n"); - for(i=0;i<8;i++) fprintf(in, "%02X", Tweak[i]); fprintf(in, "\n"); - fprintf(in, "%01X", 2); fprintf(in, "\n"); - for(i=0;i<16;i++) fprintf(out, "%02X", IT[i]); fprintf(out, "\n"); -} - -void tweak_expand(unsigned char* Tweak, unsigned char* T) { - int i; - for(i=0;i<16;i++) { - if(i%4==0) - T[i] = Tweak[i/4]; - else if(i%4==1) - T[i] = Tweak[i/4+4]; - else - T[i] = 0; - } -} - -void random_testcase_gen(unsigned char* Key, unsigned char* Tweak, unsigned char* T, unsigned char* PT, unsigned char* CT, unsigned char* IT, unsigned char* DecKey) { - int i; - for(i = 0; i < 16; i++) sprintf(Key + i, "%X", rand() % 16); - for(i = 0; i < 8; i++) sprintf(Tweak + i, "%X", rand() % 16); - for(i = 0; i < 16; i++) sprintf(PT + i, "%X", rand() % 16); - tweak_expand(Tweak, T); - Fork_enc(Key, T, PT, CT, IT, DecKey); -} - -void main() -{ - - FILE *in = fopen("Testinput.txt", "w"); - FILE *out = fopen("Testoutput.txt", "w"); - - int i,j; - - // unsigned char Key[16]={0x2b, 0x7e, 0x15, 0x16, 0x28 , 0xae , 0xd2 , 0xa6 , 0xab , 0xf7 , 0x15 , 0x88 , 0x09 , 0xcf , 0x4f , 0x3c}; - // unsigned char PT[16]= {0x32, 0x43, 0xf6, 0xa8, 0x88 , 0x5a , 0x30 , 0x8d , 0x31 , 0x31 , 0x98 , 0xa2 , 0xe0 , 0x37 , 0x07 , 0x34}; - - unsigned char Key[16]={0x84 , 0x81 , 0x85 , 0xdf , 0xa9 , 0x51 , 0xf1 , 0x1e , 0x13 , 0x97 , 0x24 , 0x8a , 0x6a , 0x69 , 0x8b , 0x17}; - unsigned char PT[16]= {0xad , 0x40 , 0xa8 , 0x96 , 0xb1 , 0xc7 , 0xea , 0xa0 , 0x52 , 0xb1 , 0xa7 , 0x0b , 0xd6 , 0x45 , 0xdb , 0x66}; - unsigned char CT0[16]= {0x77 , 0x6f , 0xd5 , 0x14 , 0xcf , 0xe2 , 0x89 , 0x9a , 0x06 , 0x5c , 0xcd , 0xa5 , 0x4c , 0x44 , 0xe5 , 0x65}; - unsigned char Tweak[8]={0x12,0x23,0x34,0x45,0x56,0x67,0x78,0x83}; - - unsigned char T[16], CT[16], IT[16], P0[16], P1[16], R[16], DecKey[16]; - - tweak_expand(Tweak, T); - - // for(i=0;i<16;i++) if(i%4<2) T[i]=Tweak[k++]; else T[i]=0; - - Fork_enc(Key,T,PT,CT,IT,DecKey); - save_enc(in, out, Key, Tweak, PT, CT, IT); - - Fork_dec0(Key,T,CT0,P0); - save_dec(in, out, DecKey, Tweak, P0, CT0); - - Fork_rec0(Key,T,IT,CT0); - save_rec(in, out, DecKey, Tweak, IT, CT0); - - - - for(j=0; j<333; j++) { - random_testcase_gen(Key, Tweak, T, PT, CT, IT, DecKey); - save_enc(in, out, Key, Tweak, PT, CT, IT); - - random_testcase_gen(Key, Tweak, T, PT, CT, IT, DecKey); - save_dec(in, out, DecKey, Tweak, PT, CT); - - random_testcase_gen(Key, Tweak, T, PT, CT, IT, DecKey); - save_rec(in, out, DecKey, Tweak, IT, CT); - - } - - fclose(in); - fclose(out); -} - - diff --git a/4_SC1_KC1/test_cases/in_avg.txt b/4_SC1_KC1/test_cases/in_avg.txt deleted file mode 100755 index f260798..0000000 --- a/4_SC1_KC1/test_cases/in_avg.txt +++ /dev/null @@ -1,4008 +0,0 @@ -AD40A896B1C7EAA052B1A70BD645DB66 -848185DFA951F11E1397248A6A698B17 -1223344556677883 -0 -776FD514CFE2899A065CCDA54C44E565 -52878113B5844CFF136FD98C72E2F74C -1223344556677883 -1 -776FD514CFE2899A065CCDA54C44E565 -52878113B5844CFF136FD98C72E2F74C -1223344556677883 -2 -36414533334639413632443731383341 -00363933314641433944414232423336 -4332343842383744 -0 -CEC37FF48B084D7A25036D3724FD2089 -43FFAC0BA5DF874C11E9560061053142 -4532343131444337 -1 -5574E49C2E6D93BAF15B4569BE977B13 -93180475E68AD55D18AA7BF8E6B8EA16 -3241453342414141 -2 -46334538434234353433413545423933 -00394635314231333546373039314135 -4142423038373444 -0 -58C352B3934CC67667AE08C2DF0A7C62 -A5454BB51CCEC74646B6775F7DC63BB3 -3344333038373543 -1 -E3F3D21393E10DA37C3BF721646C4D09 -0878E81465172344774EE2B644FC1C86 -4346453741424335 -2 -41414335453838344342334543374442 -00343346323034454632353944324539 -3830343241393438 -0 -626A0A02E00215F1462A5D3C81B89549 -A7375336EC761CB6290A17E352C2D3B9 -4532434341343036 -1 -819150CCB755E557EB2AC06AD05B9322 -58D480F0346A39AA8930E89F755894ED -3745303230383635 -2 -35463835393245333041323230354134 -00454345313533383433413442313930 -3237344542433935 -0 -EF32E738F03579FAF25B2F96F6B09F00 -06507CB5256417903326DDEE92F16E5E -4632303934454335 -1 -7356721DB8341A279858D35150D70586 -D2A3DB41BC948E2913F21EAF8B15F706 -4632433334394641 -2 -37393135363134463541324143394530 -00343633353137343433373844363243 -3539323835413844 -0 -EB9A77B1974F1FDF6F9AB74BA9108C09 -F23F324CE5C922C7706D16DD344964A2 -4238463139333045 -1 -B700A9C6A828B2403B568FC1315523F3 -143351BCC8B9140DA8D251E32986C7B9 -3941393531334645 -2 -46443943454532443943434137423443 -00423946314245413537463641453446 -3142314445334237 -0 -424B64264005671549F540914765D883 -80928942B3D9AF0F4A93912A6992F51C -3930423746453438 -1 -8F857180D147A5103C1E0CB29DC4222F -A87407DEF277FF5BD79ACB16C9D164CD -3339414630374543 -2 -37324244344431384333414542363443 -00463232323842353135353143334432 -4337444533463530 -0 -9BF9CF0B03CA6F277052FA5C9FE639E0 -4A2324C41E0794D61C2B494CB02E6527 -4432423246434142 -1 -4846106CC28CE4B123886F31753D2F82 -CB1955B3194F52C4AFD1F17EEC5E3689 -4237383635304343 -2 -35313644314238314535463730394332 -00324444394141353233423733373441 -3133323345453642 -0 -CF375042B6381AA02035ED3E64D63F3D -FACF12B653A378D4D56A1F289546479B -4131444243364441 -1 -DD019ADB88C17F7B3257E9968A4B4DA6 -BF2F04A9C22305433849670F532F26F3 -4641443538413745 -2 -34394535423836443835354243464544 -00304638333739333136383931463832 -3842384432344130 -0 -04A930485F01F037D06F75F6EF63ECF9 -1555534BFDC64041037E684AE010CDD5 -4541463932353741 -1 -6818360E391D5D31AFB1C737A18D7FF7 -B4137C95FA1C012B6E227D7DF1096D2C -3841383346443441 -2 -37363345444344353936333234323343 -00443945394446313837343735393145 -3542333134433035 -0 -A18BEB048D2A1754D15C3591739D70FB -909D29FCD72AEB0C4A88CFB898C14DC2 -4442324237303031 -1 -635E441878E5B8812DF7992BC828A561 -205FA344ADEA417C8B3EAD823CB900E0 -3230323837383936 -2 -42464531424642384532364235424139 -00463645443335463437374230303232 -3938314535453146 -0 -CAD04D10CAE1E7C140B865051F83CBE8 -D97B8A8E3EFC4EB6413DF355C053E725 -4131303531434446 -1 -69525430E1D2CEE7CEC26905E875EFBA -0DF57491BFD3205BDF764DB4837B140E -4344324233464441 -2 -43444643333946454534414343353532 -00353543443842393544343844313345 -3337394631434342 -0 -E9EA035E370E04CBE12E89EC9BAC464A -F2829C5EC500CC05F92B63AF77946E04 -3831434241424139 -1 -BE7838EC1A327AA1D8CF4CED8B86C559 -4ECCA2093B34C450A98418448D79215D -4143383437363142 -2 -39374244304236423744333842373336 -00423738314534424244463233304432 -4539373733373442 -0 -10532FA4E7275468116E3007763E1D05 -719028DA5D4F17E36CDD32D919B70C5A -3239303235364443 -1 -C0B2FF02B8DE066121734656BC418CF4 -9B604AC659C32066B3F1449F9EA02667 -3131343938393531 -2 -36443242454143443646453230364632 -00423331433037443243364135424343 -3538374530343841 -0 -FC268D03CC013D8BE3E7514EBA52454F -78E597C70568ACE8B2B0678DEA2380A9 -4144303837433544 -1 -81473B87C8CC9266A6DC28DED39751D8 -2B6CEDB605C4202DF8FB932500F4F5CE -3731423130394433 -2 -33414333444446364330334633453938 -00463143323342413436423446393833 -4146393231344431 -0 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -49A95DCCE591F7B6B365DF156E64CD64 -3132424530423543 -1 -59B4348C5B44595F84EA933DB0319841 -CD0E8646DC7ABE7932D1A2DE300783CF -4331373336454546 -2 -34444331463838323439323446413037 -00423030303638433846464544454445 -4332383236443131 -0 -9F85DEE42303A2C0E20A04845DDA1E77 -FDA691B5E227EBDB6CDCF78D0BD898DB -4239304131384335 -1 -577B99301E66233A79C742D8BA46D516 -3F4F44F1EA1DB2F85360CB8BD02B08F3 -4536463730384141 -2 -35343345444545414235343739433133 -00443741433438414138314230433536 -3038433244383031 -0 -F77FB55266BC98A50BF4870006392D8C -5C522EB0BBF9C350C41D3A839A668366 -3645333343314437 -1 -F7D03A37117A3B2A7878CE0F425E4D32 -9A813101FA8AA04D332E1518A5FEA593 -3833353532373734 -2 -39323936443335363733303237463545 -00344539423346333734383943304434 -4531324434303436 -0 -284A056F607D4C1145E881A8BDA3DDBB -14A8D81411D39D815FB9A63F3359FF7B -4639364343423233 -1 -70F6C84CE8C382C03C0630B12924335C -CD12201E26B08B8DD3C627165FFB5E2C -3631453842324533 -2 -39383832383637353633433942433234 -00464337333846393945313430463845 -3331443142323145 -0 -A0CDA8870E163704906ABD1D910041E6 -661C31AFCE1B2490BFF314FFBF68B74C -3033343841424430 -1 -FAA8948F8061630A58363079ECC9B2FB -321E07F26CF326C263BAC5373996F345 -3241443835433833 -2 -41433445324439303235334632384539 -00344332444435463733384346304634 -3332314141414144 -0 -967DCCB7D1348E71E00ED10F6D075F82 -C4D705DFE31F91FA19046DD6A9E1054C -4132334346444431 -1 -89ADCE4814674F2ADBFC9B64CDCED57E -5AB6E0C568218BCE4890C12EE2161B80 -4531363630344135 -2 -31373045343342323736463932443946 -00344244324345304434364438313231 -4134323244323832 -0 -36D4A7380437C957153533AC211177A0 -1D4303FD574854E27863A793783D2E33 -4333463037413345 -1 -EBDE1A8949480A91E59742570BE03005 -E2022DB050184D1D11E47EAD1446C36B -3336463338323539 -2 -46454138454539303042453342383931 -00323145333041363641414543463844 -4634433645433133 -0 -D9D4FCA7E35BDB0B532E2CEB135AF536 -4CEE8CD3097B212404B923CF98F5FF65 -3737423535353535 -1 -783D965F7DBBF859FB67E16535AC7DBD -7ECC18259D22F871F236FDAB821EFA97 -3233334535464341 -2 -43364546334332343441434144443232 -00373838363230383533364133323546 -4337464534303033 -0 -A1E91A4B0B12398704F2FC317CCCB456 -75BB0D9EDC0347F153AC1A3B18265A85 -4145454542303246 -1 -0E49ADF21CB47EAD92F5258A1FE67448 -930280AE8FED9CF9044B117BBAFD24D8 -3632363144314430 -2 -30394336384243393044454337324533 -00343035434133324341334142314133 -4538354441413035 -0 -17F5DD00A5ABB282B16D5F708E4752B0 -FCCAC824E226FF76E94ADB856725238B -3743314638443838 -1 -CA0F7E24288C27AEDA326073B525CEF0 -0B526768F18CC6BEA1DEE4D209768D65 -3736363038423543 -2 -38464537413538313334323133373638 -00454331454535353542354436413934 -4242433234463030 -0 -158D7BD55C81353E2484BD7B311A1D5B -17563FDC3437F8AE0E77C73FB190CA50 -3244374332304435 -1 -A0522FB24582F4455D0F1A74A5F05D80 -4C78134351B83A43FDE58926487A5B6D -4538373534364643 -2 -42314532353833353446464544393633 -00424341414541383631444137433633 -4546323234303038 -0 -5358BD3E6E224E4770A8D40975DB1B70 -4822819356928E698BB10A09AE40D632 -3044363636394241 -1 -DCB2CFD85A08BF3309A67F712AC23E7D -CA453B37661B56C7781E8298862A8E49 -3843364341464242 -2 -44444544394431334646344631363746 -00324531303231384537353837303345 -4245314336334143 -0 -4E01C49EC33635867FD4502D068211D8 -D08F24382B1A6EB77EBBE92F766D2642 -4338313535323834 -1 -E6F56B406E3F2AD7D7EE1C8C83433AC3 -85828CF74F1E4FC159341624A7447588 -3739434134444142 -2 -33423930353138364131304446343737 -00393941334534413730344244453732 -4536393532413745 -0 -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -C11A5B77366B63A1805AEBE0FD1FC71A -4539393341313934 -1 -BF9284488756CF5C1C20A0D56A354D51 -A18E6496959FFF5002AF3555E83B65B1 -3931303739393844 -2 -44444241383238443030454438443431 -00443831443846373930453239364645 -3139383238373437 -0 -006FF77C82BAAA56CF79EB74FC89AFC6 -49F7894D7C34196F6382A6D57EC8297B -4538443641353341 -1 -00ABF6BBC1BC84F34C70C954B8991C75 -8E5A66E4D28344905F0B60B99F56F831 -3742363637363236 -2 -35443742433446373543354342414136 -00304344374635454143343232363844 -4237463938354438 -0 -3F341A0BCDC2470F597722F56E4D63A3 -F8275BC2997040459C83B10DECECD9E4 -3446423033423738 -1 -B591BA749679BEFDF89933BC0055B8EB -28028B6EEC984A6F5D6AD0824A04211A -4446433445343243 -2 -32363838353944423433333034354134 -00423638433132393045454532304137 -3839444444433430 -0 -A920914E8C6B5D71FB9966DFF47A4521 -B34A9F13E3B59755CFC91ECC6C230B98 -3842324435463839 -1 -2FDC00F6EDDFFC46CE90182E256831CA -B1086871CD1ADAAAF4F5B87C15B511EB -4642453046413542 -2 -41373639324541333134393646374235 -00323936354244343643353636413145 -4231303435333042 -0 -9974637B0B2B3ADABDAD4C3AC7C04B41 -8CFCD1B566266D5EB4B1B811624F16F2 -3135453433393734 -1 -081680A1E34562D71BE30FB608207166 -99825C1E7807523BB68972E4289418A7 -3731334637354144 -2 -39433832393039373732463531464132 -00373938444630353133343838463641 -4434363738413342 -0 -80DEA01EBBE9DB5E32C9F65D63D2E849 -75B166321C29942CD3DCA2772F7ED699 -3131324131423139 -1 -E12A5793592ABB5421C08C51C9664632 -97836A0B75FC57D9690AD14B7B530EB6 -3431333539353830 -2 -32313042313144433539454339343742 -00334332373036423141304246394230 -3046464432314236 -0 -647F9C5A7876752E4A89EB0261D212A9 -6992072F4F449796BC613775CED869B3 -4238424339383845 -1 -8C13311381B6DCF5ECD962E864E777AF -51DA8B494C43A18C255145C92F45CD72 -3932323434394445 -2 -38454630393437313437453745323438 -00303044333734443936314446454235 -3134383941383341 -0 -E916A6227A3E08C6BBD8EC508A38B76B -8AA6E6ABB29A5DF5BB74E1C7BE433476 -3039363944444231 -1 -A427BC48E097B5B86CFF58A4F6834DDB -9BC9308A857E1D18D286F9B48FABB497 -4132303646424232 -2 -45413041313746343343304632413641 -00434443433639363841433735374131 -3033463337333736 -0 -2BB7F7405F75CF41E6D841F0DC447327 -B817FA79CA749D5B2A57419D2C70DDFA -4644363034353537 -1 -DB56F711C1FB277BC16970723D087DBA -81F7AA26967CC9319437A30D655BAC75 -4644444641433239 -2 -37393346443233333737303532363342 -00343444454236453833443230464244 -4644383632453038 -0 -D9815E2BE4C3C50A559DE175090413D7 -0D4C43EF035CAD469AE5C0B206EBAFD4 -4534354336384644 -1 -6D83D65BB5E733825FB7C6A4785001C1 -88C966437653BD8F91F9D431D17E53D6 -4246353136323244 -2 -35353439414136384331393839443031 -00314231433833373738394442424138 -3745413638363645 -0 -8EBA64C7DC63B7A4032C97B7CB757751 -57FFAF6CCEC35C8761E10E85154ADE4F -4432383744454639 -1 -969A2E65E0A9EC60F271A6A22F20C422 -D766198011A6BD00B26A5504833A7E9F -4631453532383144 -2 -34423146363533383045463139433234 -00424237314143314241364532374233 -3436353643303044 -0 -EB9E4FD21D9C801CF78C973ADC494D4B -8F19458F2343862C7B133CC24F3D97AF -3745344433373533 -1 -C0EC3D79E42819B8C6C206AC87B291DD -FE2BB0DABB2EF30F0EF42FA03916D208 -4344344434373534 -2 -46414234423842343637434343394645 -00454338363544323231303638354136 -4145374338464333 -0 -4E0B3C57EEC9925165ABCEC4F4FB1884 -630989CFBCA087F13E769CA6E9184178 -3134334343453133 -1 -908795C57A045E6525DF87722573D9A3 -0877843AFA0BDB62132CA4944079B9D5 -4532343531353645 -2 -30363333353341333330453341423136 -00463642303944454332334437394231 -3442454442433336 -0 -8ABF32BF00468DDFABF172554BD265FD -FCEDC106628DB554B4A9666022AC2032 -3846344433453036 -1 -EED567D3E610D210CF397C1C1F800C45 -DCA511D61DA95F8C65F500101E1A1E48 -3144314237303235 -2 -46453638443644384131433139383945 -00333334373843383545334445353231 -3333343132313544 -0 -AF010EB3E6342B84BACB1B33D2114D73 -4A96F23B8D245CE3F903774D0ACFE4BB -4137333744304637 -1 -100D9B25CA2E726B0757ACB97DD07C02 -5F7A699CD8FE21D46DF337205E22F72F -3643354145453544 -2 -41423732353941373745334636394541 -00443442383836463442393339453042 -3346304435393639 -0 -DB91BC1285621EB818C8AD61698D2F8F -2DA82471FFBE1B6F6F097EBCFD65928F -3834464444393434 -1 -A61F8EBF54E94618A1874445B96A31C1 -B34BDF90C76FBBB65930AB1A34554B1B -4641454643353437 -2 -31344132453138394346454543344633 -00413735324436313734303339344134 -4132313935464338 -0 -440FB21679E2BD268A7C2A23F7C9E124 -4DB3E1E00AE1AEE929D0C23A4698E7F2 -3742413543324538 -1 -3D80A1E5863CFA17923C3A98A8FD0709 -983C40C9F6BEA2D69D40E5B5A89A726B -4142454130453335 -2 -36304535344534374346423846304539 -00454233434635364133304232333037 -3046334434423038 -0 -3F78ACF739A401A2B140EA24F994E31B -6569D652508644F3E1E4DFB6E4198C4C -3046363444424341 -1 -A2D0ABDDA7808ACCCF499F033C62C721 -43F34C88BE023EC06A2E86E0781F7D66 -4433453434314534 -2 -35433345343030413739423433304530 -00373930444135414433453234433643 -4244313641374443 -0 -CB32116FDA7D2F5FBC24680E66CECCF9 -2B17F74EF03A9E3A72B140C2A7A28ACC -3430463830463238 -1 -0E9F435D92B62967BB15F12977BEF51A -1B765EF9712B69DBE2A7AF9F6640BEFB -3230304642433032 -2 -34394545353433454334333838453743 -00383035433441453442454637453132 -3534353843354132 -0 -6E8BA249E7DAF6D6FCF905C37C008385 -B8E674ACE3C1897B3A3590D8335FB4A0 -3444303931343744 -1 -7F46BA3ADED7DDDE27F554C39FEC0CE2 -FDD9CC3DC68FC10CD2EB789B7FE182FB -3731394644353146 -2 -36433343383646314631423446453044 -00383241354433434543424331434244 -4645433746304344 -0 -2F68B81AA80148BBBC93990FD74D4768 -6695A0CEC44B85F097D42515CD7F1E7D -3732374639363038 -1 -B0F089C4224BC0A465C8AC4591083D3C -8B388E72220ED335C63D008E3D9B0854 -3436374532433139 -2 -44464444434436413631313836394631 -00394237323537364246344442363635 -3646314346334535 -0 -B8D0BEA553A89E6A93A5A08983D95BDB -AC54CD8FA5B278946C962671F2C84ADC -3936373533443041 -1 -FF239C6BEAEF0CC707115484B49A5DE3 -D6012D4BEF7B1F738C2801D536379B48 -3445344646393134 -2 -45453134424438454444324645373644 -00463534323134374638364531373344 -4536313337304532 -0 -4F4182CF5F695FD673E4DD46D69A2B5C -013AE317BD15D9A283C63401D577BB0B -3246314443394239 -1 -0F14A6EFA2FF4F39BF8B6D7CB9D1A964 -A01453094E9577972253CDB0B2581527 -3542384141424536 -2 -39313730303233313845443643433834 -00364243444446323837433332423943 -4630343739463241 -0 -EB28B9D6ECC6A3D8D064530C61740398 -E5BA44757C51FE0787CF0C4FB98E3D99 -3942443944304135 -1 -1798B8C7D348C6251F39F6060250EC1A -7C348B859B5F63CF5080C8A732827D60 -4330453034453844 -2 -32433932354234323735333742383330 -00433131303535433533433931353636 -4243333041393936 -0 -8F9CA69F37BC8CC07CD55BAE4FD01D0B -80B576F57C81FB12BB3D700089A7ADD0 -3537364132414341 -1 -0BB1957EA3D7341F3571EC5390F8EB01 -883BEA683227EE2891B41093863D8633 -3044443832394437 -2 -33324244354437303233453842454431 -00354344353830353544453836424635 -4131394643313132 -0 -445589BFBA4D2A4F3C02F44667D19422 -ACD53B4EF0574C56F3D993E77B9DCA15 -3333323930393932 -1 -60FE0E0EC1A3369CF3685AE212AC215E -43FB50EFFA5CC33F7094F5B9D8DAD15F -3832314541424645 -2 -31423642454342334130353844464643 -00443531454441374643354137343834 -3630443232413846 -0 -7683037057CDC7ED414F26B957329775 -C5D44806E15BB605770A0A2750DA3F3A -3436423233363544 -1 -D07882F5B177847414B51E04C7514C77 -1CD605AA917FCFE684F24BD27ED9CDDD -3030414135414645 -2 -42423542364635433943343845343830 -00413738463246463241393734393534 -3036383737303039 -0 -0C0A884BB3955199C93972ADA1106321 -852039155CE53E4E4137B8A43CA8BD32 -4438353337413030 -1 -C37346D8B363DA1D46B151F8B733125B -2A5C97975BDEE15E900AAA908569B7B1 -3131304132353034 -2 -45324438373435373841433939424339 -00343534314546323046433235443637 -3636344445443230 -0 -8410518B504E4C434DCF0EFDBA21B5E0 -9FCA213AF9969C3097DD689A0F0EDBD2 -4433463438344330 -1 -08AF56FE41C0F9BF362420864351F698 -9DA1BF19EEF37B9B5F147721F247E4CC -3936434343444242 -2 -32374638344132324138354242443534 -00303633313238413934363532313042 -4246324145444235 -0 -A7B8DAEE56D3C575B0347EAE13A4CCAA -6FD548DA660AC01279BCA3A6A9056F58 -3543393936434231 -1 -5D0938B24CD7D758ED2C6308C165E0ED -DCED8507B80BC0D82BC0C8E0DE870F1F -3134454636323034 -2 -39443143344430414441414444394534 -00304130303246313744304446303245 -3532344436424231 -0 -4298C1AC36C4EDD573F983BADC58F6B3 -70CA53603C1CF91D10F1D1CACD21FE05 -4145414642413938 -1 -18A7D57444C4DBE1B5E55365AD7845D2 -77F7CF8A5957190BC683B722CE4900DB -3845313431373732 -2 -43463638333441384544394539413733 -00413331304331384132444239344437 -3330303038383235 -0 -7A6879A0508D2DEBF57D59B8889F6765 -3C27907645F6486E65C088A6E537C63E -4430423034363832 -1 -03CF04CC777A4F8EB7B984A626AB012D -ABF2DB9AEBB700B72B773DF638421079 -3044374435383631 -2 -32363045454646383442383733334238 -00354230333842343532314241374331 -4532333237443337 -0 -32AFADB1D86991FF3F4348CC9669C102 -DB564EBC7A72730B72DC3025DFB5EFBA -4430334346323433 -1 -40A4CF0C05B23EA1A24201E640A799FF -5A532C2105749AECC1644A736B2B56AE -4534394541323443 -2 -32324542414442414131353931383735 -00443642463033443443434545304144 -4244384639313031 -0 -FC5697B0AD59C817E7F72CE39B789D86 -C0EE36FB53C80474A0D323BD336B2305 -3134374232323543 -1 -47F5E318E224B4429ED7C09DC174D599 -9DDC99CA0A2B520BD4444B85CA3F7B65 -4142454338393438 -2 -44383438463241384235353435423731 -00394244393036334334463444334434 -3239384437344236 -0 -E4B1A2442B7818B7B39AF4E6F8C85F11 -9EA522055DBEEB723E36B08294F6C4CB -4445334331443443 -1 -29B607125ECCB240AAC0A6DC2779A115 -1423DE8D2517EBD6AB490137717A7003 -4638453431373930 -2 -30353133444531454339334539303032 -00443835323043313842363932464139 -4134313836383138 -0 -1EFE791FC331C5F7AC6234EA918BD95A -023BEE30F0BECE5E19015F81BB451F2F -4541334338344134 -1 -1F148A222E0F8B8B8255A03D1726E522 -AD5F26737C614291FFDD5C61946051C9 -3237324641423833 -2 -33384534303343303234443646344332 -00463743344237363239354334444635 -4231303631463537 -0 -950357198EEB44D0CA194CEEDE940092 -A15C7557CD6CF3087C3CD4E729E86926 -4535454638414642 -1 -B58F9AF84EF6073F5B364CF81C674F41 -BF33A4D71E8342BF14B19EC43EB75642 -4244304242303942 -2 -43413331313646313745363739443232 -00314634304237423837363438464637 -3741323530394531 -0 -5A3D21554FA13912ECEE1C8BD8A5DC4B -2D72364098D701119BD2516A4136D753 -4434444541433032 -1 -4B261DD59BFD0D98267813E12463F1AE -5373522DB30B38718FC1A28E5C107916 -3844314239383245 -2 -44373441383946463136374131444341 -00373738394235313837433246463033 -3543413336324632 -0 -9FCE8A2F277A29A0896F7C26479746D8 -207CB054E73E1A6162532ED2672A1445 -3735414645414546 -1 -05D30B1C20ACA963DC207FC8E5DA71E9 -7E522063644126A531CEF26EFEC9EC4D -4541423130373846 -2 -45303039433837364135383434344345 -00324532414642383936394144313943 -3345303536313931 -0 -216018BB041237CE8D07C67EB20103E5 -814C42F02C9979650B8DD9CDA86A47C8 -3836443446344139 -1 -B126928468129EE5B98CCB4E3FA989D4 -CA371EA5F9CFF503891991F0E89F9EC5 -3144363837334137 -2 -32464644373245384135383042463745 -00373746363637373345304131413238 -4430354645373342 -0 -2BC07B08E43773F4AAC5CA922DEE30D7 -38C4CD5776D2FA33E6745DCB223C4E43 -3033323735314646 -1 -BBA610BC7D418B09E8E8E0D7A81BD703 -32EA85DDBC3588C1B29DDA003918DEB6 -4334423342433739 -2 -35304234354332423335354543383538 -00363339423733374245413641313030 -4339413032334237 -0 -23812CD0001EA82FBC0654105187B8DA -C153A5E8F0729ED43B4E7E7CD312B479 -3943384539413943 -1 -0B11B4371FDFA4E815925958F0D6E2D9 -963CE7739299AF483CE68D51D933C748 -4646393645433343 -2 -34363532354430394438424436414433 -00463832304446304438364235393833 -4133324139413437 -0 -6459B944F34C98034B8CB31FC37233DC -B4A66E59D55119724C4E8CA70255113F -4246453043453939 -1 -8217A762FED7FB794727EC7ABFF227CC -B12E8E0F94066BF15906122A468C5AB6 -3936383842384133 -2 -43424631423830314335423641303935 -00343541393435334244423635363942 -4130373433313231 -0 -938E383EA6171591C504BB3C07B466F6 -172EE52050B6A0ECD204B8BE2337FDB7 -3637333246343343 -1 -080EC514B4CB1EACAD94128ABEFAFBC5 -4035D1091E10E9FF6EE094E59BECA3B9 -4631464543374242 -2 -45333443314644443732424635343641 -00383445383339373438353030314342 -4331443436434633 -0 -1AE5C92E721BFE51F00D3D5407FA4115 -65AF4C94FF4A693E47EC5A4CA9F155CC -3035423134394543 -1 -5159DAA3BBA2D80142E8B26BD6DD43C2 -049152C6A7DBB723036126DA68AAD3CC -3633363435304533 -2 -30453838353938443934303738394330 -00304333453438343745384446373034 -4446353231414435 -0 -EA3A31442F1F6B602F8D690786622C46 -A5ED51EF520E0998CE713A9AD1B97B76 -3642334234423845 -1 -E4EE55D19F655CB6C02A30325804AA27 -0783DAB767DB3E2D33264579DFD7AFD4 -4634373136304243 -2 -43373433463136414241354344454434 -00413441313339303731324431443936 -3837464545374642 -0 -BB354A062AAD4AEEE78FA4B059266EE8 -5557FA7EB160FF575EF5B0064ED727F0 -3830433731323244 -1 -D94EA3D78F504112A1B53537EE7D6530 -45013CAA25392BFB43DE257660012DC9 -3233453741434346 -2 -33344232453444443535343237463044 -00313837433242453539364635324631 -3430393336453434 -0 -642AF35276C8B35BCC922892C7CB77FB -4018700C03F5CFB1630657286D86F4EA -3932443736413442 -1 -101A30C99EEC753090A38EAA2031B6B8 -214725FB3E02B905186548AE441F35B6 -4331393346443434 -2 -44314642343042463133423630383745 -00313843354344314536344434383132 -4233444446303737 -0 -7E499EE2874F80CCEC4480E5A35B0F48 -DF9A1A585720D4CDD816999F7C05AD65 -3636434137373938 -1 -3504C3AD446BC352E98CC2FDDF2A615D -CBB4209BA3882B2ACBB1CFBBDC2F4F9D -3843343533433135 -2 -42343936374145323543433437464145 -00424441454233363737424134433034 -4343363130384438 -0 -A94F1BD0DD9A75EC12B25B3D4634ADCA -742E425DFC13FC0169DA403CA17B446D -3137463831454137 -1 -D3CF6E6A26113F16367F5A1C23E8B60F -8ABCE3C3B1DD36855C4DAA9FB29AC1ED -3334433841433535 -2 -38444443433030453537313838304444 -00353733323046353542454637333443 -4638323338463336 -0 -564D7F82C203C675E4FAA97924BB2D6E -351686F1BFE38BE1A781E623F1FBDD0C -3134354434354241 -1 -239D0AABD7AD10C3D3EB69C91551A7E5 -289CFFF87BB2AB566542489965384818 -3434343133364245 -2 -31353832454136333832414430303632 -00454337354536393241413530353434 -4443363242303433 -0 -E27B900A0C44DFBD2488D734C45F4B49 -E59C137DFE83F0C59767FA57894F24F6 -3045384539453131 -1 -C0540FC3C5E6DEDEBC0037299242A993 -C5F6412EAC6B766586DA30BCF21ACFF9 -4245323633344241 -2 -36394642343134333341343530373233 -00313631323533443335343739463136 -4138393130384146 -0 -6CCC6079BBC611CEF6ABBF363D9E2398 -1086528223935F60060DA8BC1756ADAE -3532454133324436 -1 -C0DBEFABEFB10C2D6CAB8E2AAC6C4538 -D5559296FF362524C5DCC52F6C686233 -3737383035383641 -2 -34434436384539303632363337373734 -00383936443531344339343231424335 -4430463646334341 -0 -63811FAB9BFE7CBA6BD54E862223F2B6 -5B0FD10D2F4CAFE3E5D17A7014F6BBB6 -3241334238444245 -1 -571FC6846B6C78C2E9FF7C8450F391DA -46FE47CCF08134096FEB6BC3FAAC928F -3935363244314330 -2 -36373043444531363842463841383244 -00394139304538393346433030383133 -3142384333333133 -0 -29BE8FCFE814DBC7CEFCC3D7783DCBFB -D42FA353121055E865FBFAADAA58AE4C -4331394130413038 -1 -FB09A4E5678A971F3BA7E335246952D0 -F9FB10A2EB715D6BB511D0220E676F7D -4544463630433530 -2 -43303638334138354345324430454330 -00323543303243463042353037423045 -3738463445343536 -0 -E0C39E7D543974ED9E87AB36B45B3D81 -86073680FC986E38B5B513AE4B1106B2 -4434363045453542 -1 -62F91AD555232D6063561D54C0D9FEAF -1C7FB30C163FDAEE9E2D29B5C59D1F25 -3332373936343742 -2 -38324344324332313237443535344236 -00363443324536363044463632363234 -4346364632464232 -0 -C4B2D28B631A0099C99D76C8A67F80FF -D56AD3D220B0C6E9F0D77D001109F0B4 -3946324242354344 -1 -D58732169D0CE21D0C70DD76F33D99CC -91BB9CD57D5B9159C5F0E17A458DD234 -3145304642384533 -2 -37353242414332443237333037384636 -00363536453035464535454144434439 -4438383838374239 -0 -EC4B2AFB394553E33299CBC2B403FBE9 -3F61585C9739C96D55A414C09F6CF6D8 -3038354134373736 -1 -2448A953A2F8F817F84FC96558B12F06 -99642011D51CED39A58049DD12357634 -4239424445374332 -2 -34393341334439433235423734303843 -00344346354634303846443636413839 -3138353745463838 -0 -F0C73160C7EF1CED8FA8CEA651EFE658 -B4CA95FC15DFB7DA449E718E26B85209 -3944334342443844 -1 -EF0FE0B2487AD5FE821AD3ABF44637D3 -76E72E54BF46381295B0CFB0C933E0EE -4143354439383042 -2 -38443939414442353037423943304344 -00324336393434333139304132313531 -4131324330394338 -0 -41D3E964403C5C96941D9DEA336648B7 -3C1EA04A1FFEC5130ED594D877DDE6F0 -4546393843344443 -1 -AC3347FB5F9D271BA61760556347633F -F8A4806618C10567E36AAD7EB8EA0010 -3435464337344535 -2 -46333736313233374334413135354143 -00393133353142393641354445343245 -3033353637313433 -0 -D4E47F59F28C23692FDB279209B36C46 -D4F9C8FC917B4FBEDFF8BEFE23E8A167 -3130383233423946 -1 -7A5ECD8140A461CB9DAF7D80FC58AA99 -F9880B35A7DB59B1CF72575439C0E9C3 -3837333231354242 -2 -35393533413836443732464136453531 -00454331333346424233444338393738 -4346463438433241 -0 -9934686A53FF69B8829FE20861EDE48D -4226A490FCB04F15EC1B1D689C8E95C5 -3843343234423042 -1 -3E1512483E2FD8EB3C4CB52F94FE90C9 -DD9472CFD505F00FD2989470FD2B42D2 -3930393642443539 -2 -35373745393332383935463934423233 -00363337304543394635464133353432 -3337394244424433 -0 -091C3A590C927CA8B1A1F827236A4EED -62BB1755D2F45C301568E2CEDD75414D -3931443234464145 -1 -B9B4121461264572A592BA874748FE72 -C11F5C3951DD94B7D42AF4ADBD347100 -3446353939314635 -2 -43344134333431394538363336464333 -00413644354539394445333646324239 -4333463638383046 -0 -FD5BEDA5BD7BD7C1A94A6987C418A864 -A1CD22BEB2848E3284A04CEADA949B3D -3838454243463441 -1 -FAF51E04722B2A0314C21228835AAB6E -D5C8C23EB9445A63684FA17926707F90 -3445354538363432 -2 -30443036353037453545373536393943 -00353739463831343637324644363143 -4445394335423841 -0 -430C72F3DF1B883883E506067A437C63 -AA42078E60CFE1C75AB0EEB54F3D0CF2 -3041343541423330 -1 -FD9C23419EE2097FA9367CFD5E38DE68 -8FDDAF26C2002AA33BB5AA592CD0861A -4434323132453542 -2 -30374232413039423446354134334444 -00343641363544333930344245393634 -4642454641374445 -0 -15D91C3E44641EF4139924D24088A28E -DEEE4BA8BE716D5EC6F26E58C1DD0242 -4445453745383233 -1 -F46B8FCD9E3BF846A604C3A306E1081F -441862FEC7DD40FDD88EC42D1DC78EC8 -4646434141353836 -2 -44454431453234454336364541464643 -00413238313338313234424341343331 -4330393945433136 -0 -9EB920E24E72C89EA60385F852BD1528 -F0E3BA6B3E5FBE9B5EBCF87DB2DF823B -3345333131374644 -1 -8AAF7728D23EA3CD16BF7E1FB182558D -BC75F2D233186E2CE08F9D7BD5782A87 -3141343635394641 -2 -30444539424433463845324638323743 -00424233364146383434453944443333 -3231334343393441 -0 -0AC16E781A328BB770B7319EBFA8EE89 -750DFA40EBB7B8918DC7ED9325708D30 -3346444544304535 -1 -C32352A04B0866EF78FCAEB6BFD24865 -E001F9F76567580EEE5664D4EB579445 -3242363437394438 -2 -42463736394636334137463646423532 -00423143433843453332334143303245 -3845333334353935 -0 -77F4632096A75B30474D603C38C6A12F -E0E89887028C8387E8B142B9A4B73139 -4345333544393937 -1 -CF7D3B06BA0FE5F3ADB3CD87F180F4CC -CBD7F70AAFAFCD1754DEC135CBD2FF24 -3737434241454435 -2 -42334437323437343139324433443035 -00443145434141333136464234433031 -3638443434353236 -0 -A96DB0CC47BDB053BCCEA9483D43AC3C -9CB640901F26145E64AA3ACDA57ACBAF -4231454535363236 -1 -6AFE71FC61BE44A016AA10F5F3F8B9B0 -158BCB5C2DC0D84D823DEED7D83D9E94 -3033363236443839 -2 -33393938433432453836343841443831 -00343436463439303746324444413732 -3046314339323639 -0 -C6CD5BDD1F07EA18E131946910D21F76 -1471400B38CD72E576FD0CADC3C8517D -3131414535434345 -1 -B4739397F970ECA468E768C5F5F8FC89 -2CB1E0CA13CF98D60DCC666EA3120F11 -3736363534344644 -2 -46373637393044363635423444393943 -00363834443845354635413339393039 -4442413238323639 -0 -F0F2C9AB14735763D5AD9D592F9C360A -232AA1A4568253D697EC8447EC2376A6 -4132343333314139 -1 -B057265EE2BEAE4514352EE9F597C588 -864E2130557BEFD724DE2C8EB6DBA839 -4238384146383738 -2 -36444436353035423036303631413735 -00463035364241313432423342324233 -4541434538314633 -0 -73807DE158B2331EBE930C190B8AC9B6 -400B0D15732AB6B2AE10708D5F8A38C0 -4537353338424538 -1 -087A7BF0B3748DA1A9D88BDB3C22CBCC -B3674E33282F37A23998FB3AE4320CAC -3138413945324536 -2 -44344333314433423336424334343741 -00363330394438423633343435324138 -4346304435414445 -0 -CD4917BE9C91691AAE5E29503C6B9DA3 -D6F0414FD70C49BD24FD687256477B1C -3937464234323637 -1 -679640DE84E664E67C3CA63CCFF3AE4A -C10B7E9AC57C38825C9F96BFAC0D9312 -4234353046434437 -2 -38453241353130393345444644393646 -00324533434543373231373245343946 -4136454534323542 -0 -2AAD70BC78B11A18F51597F59D1D5AB0 -DC35513F85C831980AA968D71B914CDB -4632423533424536 -1 -82CE56666884A3F40CF20A008B837223 -3B9E4C7486FDD7BA367A12853E2C307D -3538363935433846 -2 -37444344323443313837373534433741 -00334235444435323642424237344141 -3437303344383939 -0 -6C92FAC576517C035B4644135CA6069F -3C62CD2B674B60FA29C822DAF68894C3 -3441343645303836 -1 -F50571E19A9F244277C8288E13581FB1 -AB66DCF614DF3926F9ACB037B9F91DDA -4430313832384137 -2 -41464441443439393237324439313642 -00444439423246383230303438414343 -3446433738464135 -0 -7E9B3D99457E7E1A51F9EE36ACE8EC5F -9A1DB19111C1F7329C637645B1BD1A3F -3634373439304442 -1 -A138F2A80C4717169A36579C79468B11 -F79C5474F4CBC5820965C714A7D00968 -3643373741334146 -2 -38424443324146383142444642413236 -00374335444643334234413637343535 -4342393843464335 -0 -DCD0DC193ADB51DBC6044C10E5E39336 -2D2B96BA2DE4A9078B30BE09374B0A71 -4342364535363637 -1 -AC799A825D01D082448710CF94917E56 -CB6D745D2BA4BABB2DE6F5CF27D50402 -3133364642394231 -2 -46373535394642443037394430464343 -00304343443935454342443734383844 -3430374244463133 -0 -3D415F7DCE3DBCF2E8D280F52476F7DB -A8AF43D83439DCC7FDA41D5B265627F4 -4132373432323132 -1 -6211E5A16AB5E71D58CF1D0BFA119F3D -6ED350A0171B7AF02810840CA7B6BD9D -4444323739374345 -2 -35434443414644353141313635353945 -00324442354234323836413144364644 -3534313437363639 -0 -E9C51DFB03C6E6F152D720BC47AD56FE -33A589656128C16A72CFF2ACA989570A -3531464630443531 -1 -B790CD47BB1B49D0A9F32DB465739AB6 -C49E19374EEF02F03AFDAAE92D5B172A -3735303336424141 -2 -45383044363638333846434334383845 -00323739343443424144453038394245 -3432453735413937 -0 -1351F638E20AACADC2A50E0C17F08EE7 -9ABC7BCB0F99867CBE2AB299A927E391 -4438313345393637 -1 -5C17312E131DA5A07B0CAF43328FFAB4 -7AFBC47D52B99699FF2D74E48AC6EECC -4541453836393838 -2 -44374442353642313541353031413932 -00464634423635393133313743414645 -3038343137383737 -0 -C3EFDBD2B88EEDB276580ECEEB763505 -34682A1D21F0E2945B27942F6DD54D90 -3644444233384339 -1 -C08690E5966B9DABDE80980E43C39C13 -E2B8D2DC0CFD13BC934EAC07E4D187FC -4331343734414632 -2 -35354238334137373935413242453833 -00454533393634353738434332424542 -4234413432303046 -0 -44CAA0A14B43E03D1AE36A8D98D4B601 -F6037F7F26B0A643F3026E95B56D3A76 -3545433838334631 -1 -3592AA7CB26F4548F0B05117811F1C4C -A56342E5630CCCEBBAF5E9C8884CDF11 -3238424134324134 -2 -34363343424244414331373539413039 -00313443393833423045353430463839 -3244363345394642 -0 -575036D4F0D3645B23607748B573BE3A -ECAEDBBD786C65FD6B6CC506C0AFB4B2 -3130324342463738 -1 -6FB24A9C42BF66EFBCD3EFA699BAA85E -1EFBC1B682F6F15981C6ED3066474E5D -3942373139423144 -2 -43323333383633424539413244364642 -00383646333343444533453746303443 -4142304533303637 -0 -ABE4D7993817AA26AEC95B547DAC8634 -F35A563D3883EDCC3BCC87D09F708C11 -4530353636383235 -1 -6B57D3DF748022B5110B8748DE3EBE53 -B2FF73843B37EC1E1C282C49ED0ABF1E -4443363032314436 -2 -33323435463531393545393630303445 -00304346313237454544463145433744 -3045304544424442 -0 -B501EB57B44BC3F0E6961D07DBE514C2 -87F76C7C2806D6F13E533D078496F961 -4531454536463742 -1 -82D1C76B3BFFE13CCF008FEF528BBAA8 -7F511D778EB52B217C272DC3D6656EF0 -3842323931453741 -2 -35343736303345344635303435303130 -00433642343030444233364331443642 -4541373238413244 -0 -AC54DBBACE47E90E8F6EEC729ABB9641 -9DD050CD51A71AD796EA76F37B03AE02 -4239444243423043 -1 -83533385E8654823E6362BE1F8016AF2 -5003AEDC7A7B3EAFE2C6CB9623A438C9 -4641394642453333 -2 -46304332363838333243334341363433 -00413430394533383943383442423842 -3837464535343534 -0 -7272C217BD62D64563F013DE3993840C -BA71245C408DD62742BA3EE7CC4805B1 -3444444135354438 -1 -1CCDB3A9911A253D151C5DB2245EF6BE -C179D6475EC7F66C23071B482C5F4F4F -3942303034463939 -2 -44333232313132434431393237423039 -00424644353631453231453631384638 -3935364138343436 -0 -986F7426CAE8DE535889D2C777D1886E -55884F9103D5FC5A9F84B687ACFCFF42 -3234463335314632 -1 -C790377BD638C2ED17BF1A1843DCE060 -E86E58C26D468CC86483F99568036D31 -3132393437443442 -2 -43433835424134353646444137344632 -00424137343837354230393244454544 -3034463536353944 -0 -235785564E0F4CE7E0974449B153A865 -BE8CC845F49531265E1BAB24AF0C3324 -3033364244413133 -1 -EE405F7D029E10DE2C40C0A3E53EE565 -59F4CF9B74537EE37308E320C77938C3 -4443364639393545 -2 -34333130354541384146373434414335 -00423545413433373139364133433835 -4131323044334336 -0 -629F95B4F7E9EEC068C9A69F21AAE12B -77C5C67DF426EB3B756EA68DE82F76FD -3843354542463635 -1 -E3BFC4157294B083750D73B2F4ADB095 -FBABBDFB8D5371CD10B68A1E5E58F59D -3744424633334242 -2 -36363338344446433934444645374335 -00433531363941443735434139373533 -3536433237424346 -0 -72A921A81C881C1ACBFF6B496DFB8FE8 -3C033EDA805D2E32C7499FD4B9BA8EB6 -3934464431453941 -1 -354E6FEC0DFEA901ABA1E824DE54086F -5E525620625AE7CD34387F16DF1E0BF8 -3245373035434234 -2 -41433730413846454338364235344331 -00333938464445314335313132433533 -3837434544393543 -0 -874BDAC835D6225DDF2DA901E3E2045B -3BC33063289D662ADDA81542E865294A -3046454138443834 -1 -91CDD73F238D124447C538691E09FEE0 -651A9D36D3E56B4C8030DF38C02C7972 -3834334246463837 -2 -37384443333542334541363146304237 -00394632323441413844353744444542 -4536303730423443 -0 -CE4A8D271F8C0DF2822ABAC98E622971 -A9A6B988FAD3FCA42F6A23BD88C49F66 -3145353433303832 -1 -9DB106FAFA045CC08F67AF5F8AB57943 -3CA8DF7C63EBA7A9A75586075542C190 -3344423243434542 -2 -43463537464136344445303531433031 -00323842383243423037444334433731 -3231433635394639 -0 -A949607D2B45B8849A3CD8DBF04D3BCD -EF9F51D0D70C6A9C9F99A3EAFC5A354C -3041314634383331 -1 -59EF0875FA96B1A5D156FBC360D9E1B8 -A089F45A9F7ED04D792C318E04783D09 -3435413443323831 -2 -34444646413333433534393735394442 -00313144323030363641413243323434 -3634364630383238 -0 -BD3EDADE7F52FBF3CDE2A04AEA46455C -2CE44977CABB9E63F6133E7134DCB70C -4444363731393336 -1 -C7288657730958DB552270E7640653B5 -90E9C45E6A3A9422D5743C37C1B76CFC -4338323232304333 -2 -43333335314333393037343336453244 -00343341334641463744314144454438 -3442463931393846 -0 -A3BAC7420FF48BDE68A3D4BE64A905FF -BB4C0772EADABDD74FE9D009B3F6F329 -4134424330453530 -1 -E4D781B4E812848241A74A478BA2558A -C9907616E2AFFF2D29E60C999D35A41E -4345363433463843 -2 -41433733413537463137394633454144 -00423041454234424141464439373939 -4246454335383942 -0 -EC6C87A800C6C561C9917B07E8E8DB40 -D838A6FC81A2357651E0254C58AA1416 -4542453831363832 -1 -45530031B9158CA44F1F984D539ACE4C -1C2B22B2ADB859BCC4C6215E7F8BF74A -4643444638423745 -2 -34443338423833333338424337463433 -00423437394634384332373444453330 -4639463533443942 -0 -8370346F3EA1874E6B7D365ED8A2C439 -FDF5BAD4FE56D91C0F001026CDCE46CF -3636373145423531 -1 -B1875C6165A1C13F7D88BABF9C8618D0 -C2607C4019A938CCDA73B543D5B8299A -3731363633343730 -2 -41383436354237303443333436314231 -00393533303945374234444538354532 -4337443131443144 -0 -8F61BF9E979D6880E486EF6608B523D5 -99875C9443D868754F9D11D6C9998E0D -3437434132334136 -1 -67FFDF1C0EAE12B5F116DECAFE23626A -D40D9266D64343D88168F3BDA715BA26 -3143414632313430 -2 -38394541414135424643303934393339 -00353635393839413533413734453742 -3532353033384433 -0 -60CD9BCA489E5F34E96AFE4E479FA683 -DADEB7443A6FD8A917EFA34B0A701B31 -3745423138314338 -1 -9B550E06EBAE0EDF556039CAE9519BFB -AE1A6A13EA342EC18FB75A4B033CC33E -4541313445433530 -2 -43423338384544364532383131393239 -00303531324531313833353746413737 -3135323531413543 -0 -446CE9EC18A3C3A4C1B985A17089B5D2 -F33002FB35D3823336337A459A920C31 -3945353143323741 -1 -4C3DC8750E9751A743F9B46587D27A1F -13F538D0B58F5EC54135EEFF73E7F069 -4646423844424531 -2 -31344645383638393642423633333641 -00303332303737463733383445363539 -3544434144343946 -0 -83423B61FDB16676946FE9F170FFCFA7 -28BC6964684C92BB0505CC74004E3F53 -3143443932353339 -1 -922D737E8249398ED0E5DA101BF4457D -8702338825899AD92C0C5DC8D83C0E6C -4531344637313741 -2 -38324442423935303238344144393034 -00383334383535363639354441433837 -4641334144463634 -0 -6112399A8199C3D4955C23EB4855ACC1 -9EFF541506908BA6D0895511B68FFEB2 -3332414643304645 -1 -1256576BE4249FDE07A66AFFCB654376 -6E4508FC961438807F10F8CCBC21E090 -3232384641324538 -2 -32463234333336313335383246313342 -00304637463939314331303633454530 -3936333545454131 -0 -770D03F7D8850E18E0686D7D4410CA54 -70CC0EC43C255E387E4C5C5F9E4E2504 -4237434541334645 -1 -6980BFF487C6437122AA625376CD5116 -976E3D494052E483977D32A347D9E472 -3234434138453546 -2 -33393235303432313135443842453235 -00443243373631394144343242393145 -4441343738354543 -0 -1DE3C4BAD162EA5C78D0685D90FBC2E0 -373E057EFFC8A9590AFC2718BA09BFB2 -4141374245414346 -1 -BD0713B6EFE3D3BBDB6FFE49D6E35161 -EE623FC555E37F2A3197917314816294 -4233343344333643 -2 -37364132313943434433333336333443 -00343736363330313634343337413030 -4242384331313535 -0 -D9F91573EFB00C30FF4E1035027001D4 -ABE132E7DDCA6A831232B524319D314B -3642323734453432 -1 -516716751D74453F26EF4FA6363F6D5E -6370B291FAC5462C49A733C5C0157025 -3733423244453430 -2 -38444132363634334532343645383645 -00384530384334304646324445364430 -4432353543393834 -0 -467853B449B8622E6D7ED63CD09F4B0A -9CC08A2E33134C9D22CCAAC91BF54E79 -3735464442343039 -1 -C535E30D7C6FAF1C3547D0EC7F929A94 -E0D2C63837B6B7235FEE9DA5073BEE44 -4441444130304645 -2 -32314630393034463939374239374133 -00393538323030464145414145393844 -3434314641363741 -0 -B7E22255C52F561405C884026E971576 -CDE5F55A641303D88B6EF9D56F74EFF2 -4145423345463237 -1 -3E2729E5E9E34D9CD63725D35D075F5B -0DC966E2C6E2410BBC93B3E07B816396 -3445383442454144 -2 -32453531343837373431374237443642 -00434130373636423445463044414446 -4539414537414238 -0 -DED12C096F2DA011A8BDF740D96582D0 -FFFFFBDD54133C092670C5B480BBBFA1 -3637464130363134 -1 -9ED2B6B658B385BFB6EBE142E7D83DBF -009C8ADDBFCC31651933D017D9846645 -3837384445324441 -2 -42453336323134334435364145334545 -00433133433844343035314538463830 -3546364331433642 -0 -7F76D17D3E0B7775FA49646F369D9EE8 -AA60AAD824785B4551214DA256660E92 -3534343735394132 -1 -F5D8B9F054BD8912ECC9C69F2FC20BC5 -803A14BF54720EB89888BF4E577E8F2B -4544433138374433 -2 -42363543423236383245363037354243 -00303845443845423542444432413046 -3444384239463634 -0 -3C8D12A42DF78686DDAA6A668BEF93D7 -CE7BE7A5B29FC00F618C53A71BC3BFD5 -4641314144373246 -1 -81C8E7A259D3C6F0E0EC8A5902046181 -FF37474437C75547C9B1E4CFDD4E831A -4632344444444231 -2 -38394130453446433231423636314144 -00453246343536343741313537443638 -3844373533434439 -0 -EA1FB3022D4C25DB263FDE9AC8224E74 -79241A49FED0C1061B334FB0C32FDC37 -4543384330373832 -1 -0A6024EBC8CBCB1BE9F70388A6CB79D7 -5B9BAAA1F6D1D996CF9317594AD3491D -4130414534364433 -2 -46423333393343343241343930314236 -00464530373543313637304144444431 -4339423846323236 -0 -A22509600CCA867929BD2191A7E79ECB -B5173267D1603CD8E1B63C70233762E0 -4130363333333835 -1 -236C6FDFE9A1E3BE4F1B73991C5D5EB7 -1EE8EC2B4FA93F15A6936E0F1774348C -3133444644313432 -2 -34384243393546394330423836453545 -00373132433532443830433531313735 -3037424639383141 -0 -B6873FD20792AB6196014BB2E7E39A3B -BE22BF8E8758020285F37ACD35ADCF7B -4331383536374532 -1 -44F8CE2E4873BDA706B388FA2EE22EB9 -D18E89E6099BD4C55FC0CBFFABC26A60 -3633334432463334 -2 -42443930334135463932383537363730 -00464339433545323831464230324630 -4137434137373331 -0 -A88779EE14118FB9A2511AE7F11C0B0E -CAFD641F0239688B87782FBEFADA7D53 -4533373244443137 -1 -602D34F3445D67E58CA5D2861810D0EC -00FC8FB4269D3909D75118DB081AB0C5 -3335423439314334 -2 -41374643333332384639333539324545 -00433741353046393541444542393245 -3541443942384231 -0 -371FE7BA6636072A5053404A0FA33267 -1E5D41D73B9866FA4160A6BF7F77FC24 -3535313838333138 -1 -4C3136FD33834D09F6C3D2DD70418BBD -5A7044DE167BBF8064836F03735E2A4C -3635434330314536 -2 -34374446323131323734414545384345 -00353238374145444641394642373544 -4635303638424631 -0 -97B3B430315799B13CE9A32124D9CE4A -17E2C5E856FD26DF76C3A3D7BA550674 -3846394130424337 -1 -7544905F7BC79DFF5E4774A84E3519C6 -822138F502D25D4750ADB2153F4BF401 -4134374136334345 -2 -30353339333939313630413636443130 -00443739303533424141353044314539 -4331313234454539 -0 -0B62AD2062AF0736A7A571AC3D7AEFFB -E79E7B3B9C6A4E2ADB5B83102FBE6CAB -4130384439314646 -1 -C96F4F6336CBD9549A323525E0041E45 -05F4670B0FAA4258918F50625B9778DF -3438344230434145 -2 -30324438434441463946443037374237 -00433544373741423045373042314530 -3031433345303942 -0 -B7CC40DF275A7015515653F2450F917D -EF202C1D52E5B5520B666D0611A640B0 -3344363041304633 -1 -CC098D0C01424B8DD2F424B0C7CC485B -611C4E416F959CB0C80EF7909C6915DC -3235463534444535 -2 -34313943303838384432383845303445 -00354130414238433038323435304138 -3835344141313330 -0 -730D7F2CFF1D8373D8C5DF336525E81A -CB0E9D5ADAD4925C4FC128696E651B48 -4536434645353743 -1 -490F60FA6A723F7D37E3257E17529E97 -64BD9B6A430C2B2EFF1982D7282C6B3C -3033313042353841 -2 -34453441333235384233303530344630 -00384538414434413035414241323636 -3036364143434232 -0 -7B3D3F103891326503A5DD32F6E88AA0 -4D02CA37ED29D2182C053D8373121746 -3042413445464339 -1 -A1C1003ACAAAE7FE5DC8088B1C66ACA2 -277D898E04964EFB26C5B766BE993467 -3837323934363343 -2 -35434144314138313932443436383730 -00464143423341334143434632464238 -3142304143334135 -0 -ECD39E649076E038EE99946F577E4044 -6F85296F01B25994C4F98DEB1536DCDB -3431353542443734 -1 -70B6D2CAB12FDB5B9D1B9253FF921A2D -D39C4775077F4CFA4A76A770D1033FA4 -3638423338323443 -2 -44384332304136303334364532313037 -00394535424442313536344538384130 -3445393843373334 -0 -416232FAB314F133FCD353A5D05CE833 -848D823416BF1BBEAFAE6929A0FECC7B -3542303535363639 -1 -A4E19D59A28720B6E78B0FC08AB00C9C -A9CD43C8E3EA7285898F8517E1FBA62C -4432444139314535 -2 -34434335304632324431414341313835 -00454537463937434234363436344131 -3738374631343645 -0 -E5BF7E489E775B2FE7CADBDBD396DA30 -BB7885CFCEE01B16A87789CBC4C1BE56 -4238334237354534 -1 -2942B9D0738D46798485D5B15EC1EAF1 -42FBD99C7C4E3201FB47C6E9D0550736 -3842333233393139 -2 -31323745303942354546363445423238 -00353431354634454138304431313639 -4537333442433842 -0 -383AA662F1863F78B563ED3353AB6972 -EDBDCF97F2146733F9C7072892EFBC2B -3031443041383539 -1 -07A2154114569FB7CFA5A12279D25256 -20AB07E8B9129650389DA7D996523C26 -4544423543414644 -2 -45313744343338424341463743373042 -00393233423238394633454244443842 -4139413231423536 -0 -CDEB9BE0677C0D63A030741F86A891F9 -14ADE4FB64E07408EAE0040CF2F8EA11 -4536333341424536 -1 -797D930634A4CCF0D6AB10CF1A27507E -C299498B9E97A54B4E568A74C2318956 -3035393434353331 -2 -35453937324130444541413543464333 -00344144373134373744424233454342 -3735333744344341 -0 -AF58C641A7D3B7802C4A11EC517FF356 -2F43138DD3B69E657AA1349AEE2C8594 -4630463241464638 -1 -9EF996A779514A774AE411FC12438028 -568C2CCBD0908B66B846D2C9AD1F547B -3834353145453631 -2 -35383143373737434230374445313731 -00343841324541413246423145313233 -4443413843313038 -0 -C2AD30B02A358FA599F3DE005DA72D1F -40626E4E16C4D6B2E10A5D586DD327C3 -3136453944363538 -1 -AD4AB9422716AF0161D37A595583770A -9F21BE267FEB76A0CB6C19A3EEA90BCE -3046384542454341 -2 -41413543433831374137464636433535 -00313638354643364535344133303438 -3830394645363138 -0 -EAB019F4BC0AE9A2376625BB8C714A6C -5FCAADCA5FB6512DC7E2131976A3FEBE -4346323937333031 -1 -B0C99B05EC2A89459C4408A3EFF4D74A -8B36B213750E33ACFFBB2D053D6C10FB -3446373035444335 -2 -31453939464335393445453135393242 -00383935443742313632314246453131 -4230423541303232 -0 -6B9924C5706829453A4A9DAAD66EECA4 -3742EA19383FB846877547A79D2D7306 -3244303139364144 -1 -6DFA8FED27B1B4E4C6EAAEB71159E027 -22FDEC7BA75EF3AC432A40FD3C18690B -3036413237464543 -2 -30464430463130353242374245383438 -00314231393331393942423142414437 -4631373538343336 -0 -18A5395EB11B0121FECA973165F5E665 -81C17563A00455B15520B187F0EA27AE -3133323034333636 -1 -F66D908B440FD11857DDE5DC3343F974 -49B250F2D3899B9541C0EE46DB16D0C3 -4532313338364445 -2 -37343641333933343635433538383144 -00443237453343433544304434444238 -3239313630363645 -0 -40A05BFC4C02B02F46C322259A19D9E8 -9BCB4B036754CD3E24CAE3D32DDC17C6 -3233433543464133 -1 -7223669DD89F4D47055CA77C9AF14FBB -3551AC0AA52F97DB552CCAB405EF79F9 -3846413639353934 -2 -33363344393045353434424232453946 -00343635364433454444343632454143 -3437314146453239 -0 -8D5402BD718D9E4E6193B162A5812539 -02A6F88371FD49DDD71898D97408D333 -3333414333393137 -1 -03911CC0E7DC47421DEDD62270018D78 -2888E335BC2C34A063F3CD03A509993B -4145323541304234 -2 -42343938313937413641383039393536 -00433345414630354432413833354337 -3437443138443941 -0 -15C4008743E7D466AA12D32D0F3F1B0A -4D242649BB2968EE7B2F18C6DEECC06E -3744343937423344 -1 -4DB9FFC4EA0482E8969F3630DEE89FB7 -96AAAFAE31B8C3798AF7F98FC12F7DD4 -4344353130393232 -2 -43383345303643453644463744363441 -00303030303037444443454436304632 -3139443732373434 -0 -68DC5EA4925FFC9CD3551FCC6367C41C -D79C4101B99DD640C8DFA0939DAD4E2F -3839413830373636 -1 -77749E8AD1D819CAD456560257B1B058 -19636CB52319D124BA0DC1777A4F1DC8 -3244454545394432 -2 -42373130303245434135314543333546 -00373735343632373331353241333436 -3845413133363646 -0 -06F1939CC13577F8F9E1C05F7F2CE2E8 -3A984BE73289EEEC6C3652800888F5CF -3637313641463234 -1 -33FB535A472B4864A4B516F6758221D0 -B82761C6E9A80CDAC070A16E42E3EEC2 -3031413739423343 -2 -32443446304144384135353842423633 -00303843443636453730353042384338 -3639443345454532 -0 -709AE82C223A6360EE6AB45FB92AD1C3 -088B6FCDF09B5C9C1049DF4130B67D08 -3944443937413232 -1 -02503F9C22A292F7F58E9B29B853780C -A6B05A2B0C570FC3EF2079B0ABFC216B -4444444644424438 -2 -31413338383839443142353130464632 -00393238303541443237444632413745 -4246433131353739 -0 -C0526531C3B81F2A86F35323A2CF4BD0 -9EF88E766E37EFCB52E2A9CB4C9BD9F5 -3435324344434146 -1 -C3B52223019CC5E4AB983641D97D9D3C -6FB860FDA586673711A3FC89EE9CDB67 -4143393942344537 -2 -46344532423234303732463743303032 -00354546464130393641323145313845 -4431334643353944 -0 -E0D274BC7E27D5DCB0239E9E0180C6A2 -72C637720E5051237205F50779EF9204 -4535463938334146 -1 -14D1F07552B6AFA1239D37910F83B8AF -8807AEB711D415EEE4F3506E95A43860 -3438324546373139 -2 -34454245363332354238444646343746 -00383638333737384639364530373730 -3445363335454342 -0 -9C90EA24C6671D69688F4429695A5B01 -36549FB8D0796F854525AB49E1903456 -3646354332373145 -1 -54CDD52B1D527268A684615EE7DE2EC5 -C7B62A347731E90A32E66F2B10184E2C -3544413831383932 -2 -30394335393841443932433141374446 -00313746373841433534343743443930 -3631344438433739 -0 -B4FBA70A032EEAF6F256076851C9297D -5160F0971174E079AB8B907244E1F272 -3346454337383931 -1 -6A5FF529AB252FF717BEA80455FC0BCB -756E3F3238A50F71D7597E6558877CCA -3031414431453532 -2 -42424438443942313744303046343932 -00453246393134393345363443423635 -3041384434374537 -0 -D96630EB41416E030E3668F9E2579BA7 -F23BB3BFCB1C265C17A491598098A968 -3345373037323145 -1 -CA88DDE464FF9E869344B125D7535FD6 -85B77C6A878D4FF0D8C6FE6E939CFC1F -3839344531353739 -2 -43383630373039393045303645443436 -00463537364644453831433937333233 -3246334642443530 -0 -5B952A52A40E590BEDD01D6DA8911544 -1A9EBDAFA2CBC7B2854947A737A5E562 -3132373833303133 -1 -28E6139F9ABE14CE6D952881C92D1383 -71439AD923483EFCF8E05C07A988221F -3132463046334643 -2 -38344631323343333545383538463735 -00313134304137314336324239313730 -3433433635303230 -0 -F2DD4C1EFECF8E53E67FAB683633C2FC -B05780D4A47048D452288D56F3EF6415 -4230354534323139 -1 -AFC3135AD0B6319B96A6A10CDEA10464 -26115C39D0FF093F1FA405162B7DEEBC -3746384634354433 -2 -38434538364443443737334632394544 -00414236384236464145454633433237 -3644304430424245 -0 -360F80262C894D3AA13A5BDAF4D2F991 -080798182C0759F35D64D72F4C7B1C56 -3438464135423743 -1 -6185CCC505D633135B53D034D1FFBF2B -DC622C1F0ED2723BF49B26E4C33BC126 -3331303743313333 -2 -39343039394444444241423344344531 -00454444373239423439323041353339 -4637343142354543 -0 -E23728F4E59E704161DCD92FB437AEE3 -2A3BC5E79F58501D36B2F5662B7D4F6F -3834443131424643 -1 -8E60C87AF54A9DA25D28944046ADF206 -F95760F4B67AE0CA04D480F55E0474AE -3531373345424543 -2 -32384543463541423844363631364137 -00333342453430343537373432363032 -3731414241383833 -0 -83DEFFF329FC9FC35E5671BCC4E40F3D -4C1050971228ED1DC23DF2A845211864 -4238324244433635 -1 -59024FC2E6A91804D12860C5873C9033 -13EAE20C7137AD15996BBDEB81983B9B -3544433734453743 -2 -46413841423433383439444430344234 -00463535393736453433363831443544 -3332314245413135 -0 -EC2CB9AF29B4FA399B00A8599724B7AB -7364CEDFEB577712DD779688282D2128 -3930393434444438 -1 -27E97A774DEAEAFF0EA3F60780B2BACF -CF988BFDBDFAB9C1C6C652AD5B0C4C62 -3441433342373844 -2 -38344235433539384341354446383245 -00373043313533354646384136313735 -3736443137323539 -0 -F3EC02C7319198C4063F19F8CB8C9D96 -30D5BB3986CC5CC52D09FE100AE091E9 -4531464236393332 -1 -8FAF2B6429BE9282109B7F33A268F674 -775197560A9D072F0BFA7ACA8667490B -3141333243334139 -2 -38424633463646383234463834384646 -00433537353039374143393730333042 -3333454332454532 -0 -32C46E3DD55F2FA5D1DAF4F191C5C769 -BB65A5E3E35C54B598428E3FF28F1593 -3344393233384135 -1 -9EC8462314AB233E2FE72B2A9EF6F7BC -63AAAE61AC1DAB0E70C5F0E35C833CE9 -4133464346303434 -2 -39354135383545333537463636393037 -00303943304541413139373139423530 -4238333939443232 -0 -B8BFDEE8BBF476D4EE0BE3D257A6A23C -ADE5BC70A6CE5EC66704FE4927C6E9E8 -4642433730414136 -1 -CA7C081C7ED86E5C5A3201BC0AC47989 -447A4B42CFA64699670016035C9B28BA -4541303037463442 -2 -46374246443537464238363537364530 -00353037414646383946383046444236 -3244333333433134 -0 -A49CD1655F2BAA79DE0A9AFDD09D7CDC -149D5A38C3742E37D8A081C93C7EF186 -3143394631314543 -1 -7DAF581B5464791B2273B231843FF300 -E4515A9AB41DBEE27343E1B8DB4D042D -3531363031363037 -2 -35454143424133344444413136364230 -00334136343943394132394238393230 -4534363235343445 -0 -DC7B749E7A8A6A6CB83642396DD7AFE7 -57890EC8EA2C257DC02A91BC75ACD71B -3030444341313038 -1 -67138BF03CD67947E55BEF99A0C0C442 -A3BC5AA01BD527FE71BE8353F82A2CA1 -4239344345393637 -2 -32424132303230344135393242443541 -00383535383534334638464431363443 -3732353533303835 -0 -CC8BB4109FEBE63BEE5200C800F6062E -D5D2F6C2BAA1F77E3FA6F1F8235D50A7 -4246444231444643 -1 -9FB488EE817866B37CB3124AB14CC5EC -C7699799DB70E27F42B9F4EA193C0B77 -4437453342364634 -2 -37444530443334444335394145363445 -00393043443943413041454230444635 -3037343835414632 -0 -32FE554C6BA350D55DD46A7EBC62C7BC -A2671111752945D689DC62577D677B1F -3535443338323034 -1 -12603C134BFCC73341BC16C901D3F541 -B2C8B0E853F33FE00C512EE7C4508285 -3845303731333737 -2 -44423741413841433835333731354442 -00304236454232364132454236353235 -4546394531463031 -0 -B3BA4141B6F581BF8F6F740A39352C7D -7C64DED97753E9B297E8313149B3A185 -3831333339444632 -1 -14D9C455C2C6B435C89E35F62D0C6DCA -6F0C84AC752D1F9A423CF6BDADF10A4B -4145363135343037 -2 -41384246373846394332423841304531 -00343332433834363741374346383338 -3544303139443934 -0 -DE486298F1BBA6B4CCFA63144328D7BA -7D79BA1759C06D713BD609F0DE5521AF -3636394545383741 -1 -5E1A76694505A8A42D6AF7B6CC4D2BB2 -E3045EB89B7031A6585ED35FABB2AD8F -4134334435394144 -2 -44454341323046333442394638453439 -00344336424435353138323632433346 -4536303831324539 -0 -BEEA208729B5364350C790E5AD42D303 -EB6526E8125931A6D11416109E1C7172 -3546313730304134 -1 -29175AB2747CB830705D177A575277D1 -FEC5DB8D6D71A4A2F9526F90CD551ACD -4631323039303838 -2 -39304132423234374146354536313146 -00384145343743333845333246434144 -3535443632383643 -0 -81F11F8CABF92323E27DAB65EE36BE86 -2BF020311DA898ABD5A454E3357A9E38 -3341444543323536 -1 -C9AD85DA8BDCDACF360BE14FA8826461 -A3FF9C8ADDF3DCA86E067DE5F873BA8F -3137334345413639 -2 -39334644324232413932444535433430 -00453033353346364132323843383245 -3838324330414637 -0 -C8F24C9F194A84C12F9FDC21EB990832 -ED9E4BB4DD2C4C572FE33B1797F2E27E -3641433835453246 -1 -146A75C36D7F998201BC0223E224E3F6 -AC20777B328B95C06AB5F5B5C0AAFF44 -3435304637373830 -2 -31393835393043344244384235364633 -00423034303845344545333535423542 -3844423333363642 -0 -C7C8625077A8666E1195743E0DF8395D -7BADB6E2EE2B5DA13446E29BE9E3D0FC -3831443231413643 -1 -06F449446B17187B5DA06152C1E4E925 -055B702F6C55FE0E00AC3387645E5B00 -3432374138373431 -2 -33333641334645443846413642313839 -00383144454337324545433635313735 -4145444631374639 -0 -0D73585193F523F0AF475018CA360653 -F1CAADE8612C8A9458DB08D3ACE02C51 -3237443636423345 -1 -0189EFA3F43F5DD80E1FD0FB932A25DB -CF50DE7118DA2D31052EABD54FE7C668 -4636344533344335 -2 -43454330344231323935323943463939 -00453430303644304431453035413639 -4233354139453137 -0 -4F25F6CFEBBE5933B905149E9F6C3776 -733298290EC7AC27371D704D7AE886E3 -3630464242304434 -1 -7D8764FF81658A4FF24FB8CA8630B992 -56968D2630C0857A63FE307C4C2EB869 -4235334144443943 -2 -36324442443038353238383130444344 -00323033463735414438344135453637 -4433433345373345 -0 -6E9EB797ADAE9E04D02E3812890F52EF -79C740CAFA0B6E7030EC4E771D58CECA -3439373239463743 -1 -31F4F467B64A07AC03A0A0688FFBF35F -C9EA48B992478826CF4AC1E0AAF72F31 -3330353039413233 -2 -31363033353433393539324131383141 -00313639343836373842373235413542 -4131413932323636 -0 -9FBAA6F724BD6C237AB58AF1CEA9B4A7 -2422D30FBFA69B4118558F2AB1B85E57 -3342333846363135 -1 -6CD60E051622474517DE8790D0CE1C5C -D94FF4287EFA8F51F2B7405E3BD3FA10 -4541303941423834 -2 -35334437443546463545313037323942 -00304532423931393331324543413245 -3932383143454636 -0 -7CB7592881CA151307D74822C08232B2 -E061971EF084F6A3104AE3A7695EC245 -3345303033303038 -1 -83FA114FE211AABD20A70CBCFEF68759 -1FEB7DB5EC383F7ACE41E08D791C2946 -4146434531443630 -2 -44323433363033323530383334344336 -00354435453343383239373436443443 -4139374232343731 -0 -0DB46C718C7803C80B43038904570514 -9CF518E9645559D95B56A849E5399D68 -4646433530463835 -1 -3F0A5D2262DA45CE1D34D1BA378C5E47 -1C021F75826ECFE65D7410439BB395F8 -3145323633443842 -2 -34394241343046464533384544463034 -00453439303937313741374137463539 -4438453044463041 -0 -91AF1BAFEA2279656640920F84B77891 -A97CE926202450EAA3DC949B7667ED71 -4446353146343045 -1 -14BC4164AF903FDC1F13A71AD6CDFABC -A69F5D68FED78C9A61B520819F683000 -4532443646363833 -2 -43463046394242454346333239303736 -00303435364144354341424230334546 -4443423339314339 -0 -AF056B53C772A57FAA1FA079FC99BFA2 -00C407E9FD016E2E449DDBCE90D823AF -3230324242453937 -1 -43555F63DC6C86C0F92416E6812E5E52 -170DABC9DADF10FEA371CBF874F1F40F -4444463946433033 -2 -39333246324136443938343845333532 -00463236463646433345363242363636 -3734463332344635 -0 -7516E7DB80E53B4B535CB8DFDEEB4AF7 -DB9E1CC03E00B426CFF88BE2D8113FB9 -4538463032354442 -1 -B8359DBD8C256D410A17B6061BCF8747 -67AA91EB013813EA85F0E13CA8578D97 -4645413437384142 -2 -34303238324138463236443946394635 -00384545363843363636414445343838 -3038303235334141 -0 -6BEFE063AF8F49A13AF4CBFFA415F8B0 -81FEF273FA567E50F36FD09F31F4452B -4136354330444232 -1 -CA4E3401DE11A6C19A2D7ABC5B9EBCDA -2826A7A42D944AEB89F5367D2431105A -3243433437353641 -2 -38373438363336433032383630454541 -00444343364435384132433137334237 -3936463446314236 -0 -994FD89731659CAC1C21F0F8AC4DA97D -25626F689EEF06DB376257619C0B67D1 -4536463441353141 -1 -1A64BFAAC454030B9BDB8ECD50E16313 -AC0F044226853078B567CD40EA85B973 -4642363742384535 -2 -45343033334246334241383130433732 -00304235363633353139434331423236 -3830463244383636 -0 -543056EEF8D585E3A96695F471544B3F -6298502A221BD9960ABAD7CF47DF2793 -3334363730354142 -1 -B9EA4F39856544DFADCCA6AF952B5550 -9FEAD36CD54D10B5901F4A7B7B4AEF59 -4230363934384443 -2 -33374532324438304237343139463242 -00444534363242313231413741383333 -3035443133383737 -0 -2F4D2B9A840A2C1E63FEC73FD5B6EB2B -AF0ED7446701B6D715B295E66A336ED5 -3533393731313743 -1 -C2376AD003C64E232E42AD5F2ADDA1A8 -BD5A28EA7A29EC98F24C2A245F4ED0E1 -3930463546433141 -2 -44303344453634304631373632443632 -00394437363035303035354631364144 -4634454534464446 -0 -B59178BB98D90CA0941B8DBE2E32A6D2 -0F7080331274382AD5C639A4507B5DB0 -4337394144444144 -1 -A055997739863E58AA0C71234C5C0F3C -289DCE32FEB80D9AA132F541A0B6AECE -3345333137363242 -2 -34453931463446373742344332343337 -00334344343730373533394341423735 -3137324345304242 -0 -F2A807FEA3DDD77BDAD57362D2B6D29B -DEC25C5274FD77FD83B5DD591B153185 -4534464439453430 -1 -4C388D46CB23843C422C6F983EE12DB4 -40B73F78E8E8F52CB8FD8C60BA1F9FBE -3941333741374337 -2 -39324132463230413743334335324139 -00344238353946453433354541323539 -3630413938463430 -0 -14D981289B08F1BD8682F0A0FEBE8FAE -39B49182576DA958F03EAF93977DD9D6 -3730463633463141 -1 -F6536A0592A068B25C7701C88862B5C1 -409248BB845AB2F9E7F13E7E3C729822 -3445344145463646 -2 -35463833373943383933303932434446 -00303738304643344430454246354131 -3743324638323146 -0 -B1E622F2934798B451A226B72888A3C8 -3666078D284069DB113321BCB14E42B3 -3831424641373733 -1 -177F5F66C7405AD22836CB4F7BA250FF -792376C94EA8E52131FCC9D3C980D7F6 -3442303834444139 -2 -42463837313935324646423139373746 -00364139324142363542454138383344 -3031303443313342 -0 -6050252428E5B6EE5AE8A95272AD564C -E63C537A1E1212586C2B728CDB549483 -3543433636313935 -1 -F7E59B77343AACD5664F6B6150377C74 -1393FA34C4F0AA003ED5FCED8E8A3F22 -3438333036434542 -2 -38323546323542384432363442433042 -00373744373836433039433735413234 -3130323930424335 -0 -1E18528F031831999CE876A46A1ABC4E -B0C7A734F4F65E3D25DA2C8C8A57AE07 -4645333234454131 -1 -9C5D657451742CD316D44BD499D6506B -C085713D9A1EF3B3E9425A879B35D719 -4241423730333932 -2 -41354331353637363031353430333438 -00414146344545463841373844304241 -3536353532343130 -0 -B9165A3044FDFE83DC097E069B0B4614 -CF2BDE732A53DD6BEAA981C7CBF701BD -4231393037303637 -1 -DA39CF4014A87E5C34E8589F8C267FC3 -F24091EC877B726ED37B319D1166DD66 -4545394445333938 -2 -33304136303446354133463342303931 -00363441383731363641333444444343 -4441333945334243 -0 -F17240BC1D0560D20906CBAB3B7EAD1A -D09C61CC173472A0A07D0618F3A3001C -4330344335333246 -1 -02750DD3E00323B2370B367AA0D13BA1 -F07623231E8720A9101DB4A7C7BF22D3 -3344413539443232 -2 -32344642393542434235313341454543 -00364134383544423237314235334441 -3932393931453943 -0 -874CFBC3310074AC7C227E5E707708A8 -71621FAF886C01A27B76C758B528AD32 -3233414338353833 -1 -6778B4101A6EBFA9C9AFCCD835EFA3B2 -D77DB9912F047AF1B563E9804F55308F -3636344542364230 -2 -46454143364244443041413238364143 -00354443324443383430364636314635 -3436323330324432 -0 -4BEDE6125517EC41296B1165128B4B2B -2325758D08950817A890A5363CA143AE -3546324241463841 -1 -04914F5E7667F2DD36978DD9DD56E2C6 -F6412EFDEC5EDAD0832B5AA55C059BED -4242433431393339 -2 -36373635313930454446424145333337 -00344644444538383934434244463430 -4537364341324338 -0 -552D9CBDE4577124481D288878EEEAAB -832B561D63EC226BEB139598D0E19DE0 -4436394546394344 -1 -44EAF4AC429676FCFD7876285F3367C3 -CBFE37954C4635840786096A082DD73D -3637443131433442 -2 -36303839334330413139453531394531 -00353934333842394638423134464331 -4331363543463737 -0 -BF5AF4CAF849150735E2B4DEFA29593B -2D4911A7286B8757F9CB014582919610 -3241443536444638 -1 -8B0FAE671A3090586255EF898C6F89B2 -09EE7B0B4CFCDCC27BA79E0093BC02C1 -3343454138363344 -2 -43373639303237433543394531443933 -00333544383533423131353938383639 -4231333546353442 -0 -CEA9080ABC3805260A9A3F7541C2A6C6 -07AEADDAA0FD621CF182284933010C6D -3335443337344644 -1 -C0B908A55DD9465A15B0828C43E2BAF4 -1457DE5E86931FD5D8C37BDB46E95FDF -4630433535314138 -2 -42413835343346353532464442343534 -00323341383835383931444533373636 -4341444342453831 -0 -8605DCF19397210E407BFB0D43771D79 -2D2ADCE0D2061196D9D6247FAE15FDB6 -3536424139414645 -1 -2C396D07E918CD4980856ACCF8743C3A -00263EFFEEB2DBC30B02DB735871F6B8 -3146383431344435 -2 -34303231343441314138323341334442 -00364530393131413139463245433730 -4343394336424141 -0 -D408C82C8F168C1E88E494EA3DE55F1C -E9EF501CA52C5402979DFC51FC636F52 -4333353137303231 -1 -70333010B65005E48B576D4F8FFDE9DF -B366BBDB7141117AA73C9E95B802AAFE -4536323538303936 -2 -44413634394336303939463045443441 -00443345363545344331413431334142 -4237354430454336 -0 -97EBFC3EDDEDFC7F9D1B34BEFE8A5B48 -72EDE590983323F7BD41D586555F283B -3143304139364232 -1 -0D457510EB37EEB99C43B97F21DE3065 -329723211CDD0F3264C213651AEDF21C -3745434535343442 -2 -31374543413337384142354543323942 -00373444343043424639393444454638 -3832323239424430 -0 -EA69E35C3497D98A8786A69E7D3367FA -C09E54E80A4F95F421EA2B7D1AA28A5B -4639434143333236 -1 -59BBEC1381931CE76A1C81209F19047A -69D8977477DAA5F465DD927F109ED793 -4639383836444436 -2 -37303843393235463145333743354433 -00463834364532353741454537423441 -3541304438433641 -0 -DD835846DB15509328B97A378A33251B -FACE5A773F117993DCA904F3CEAE6AFA -4542313844363745 -1 -55801FC6D1D785A37F77BD1763265C07 -6DB5715B26C6B3D18AECDBA01488F4FA -4430374433424330 -2 -41313738363936373631413537353244 -00444233463641433632393944364145 -3945413330313739 -0 -7389E041DC6D6EAC910AA82B4F46901D -19F75ACD46843B897F5E3A19CA46D997 -3630304339363346 -1 -8ACC0B2EE1DBA376E7215D82FA4CD3D0 -7BEA2779F8CAB44B557382A438B44A3E -3441323631453033 -2 -32354638354242424133444630343937 -00463832354436393739303837304239 -4533454439374142 -0 -BC8FC167C6DCFB21336A3FC3BB766BD3 -6309EDFC7E4A2D85D6F57D8926FDCB5F -3331443843383336 -1 -5EC9DC69F84C37574AC0CDEDE31A3061 -CB29284100CC42148EC2C5917B262FB6 -4243353042373233 -2 -43463243373330344234464346333936 -00373442314134433739433231453641 -3741463337443046 -0 -280D0136FD66380CB4BB79FE15870E5B -0E9E89987F182743FDB3882452B29417 -4532443535443930 -1 -E1B03A889DED803D43A871EA036DAB64 -0D207271504D77AE271F77BA0BEA874D -4242383731464644 -2 -32354233343843414634354141373142 -00373742423632363141443339443037 -3343343733373133 -0 -3CB7C483C99008E9EFF44569A9812E3F -F713427FB5E5A431E26232B5EE8EEF26 -4444323135454234 -1 -EFF8D007FB9964ACD598DFDCC44D98C3 -79B9B376EAEEE8537BB396E99AE3C2B7 -4636343636434534 -2 -36373544453332443639313737443842 -00323734314543303430364144344530 -3444383834303439 -0 -9BCB43480DB14E9C4DA6F36294686141 -52DB49EDFABA26D22F401E76DEF15350 -4233363936383643 -1 -33F59A12C27D6746097C910B994B60A7 -899D87F651D8E51254CE8F512C6D9344 -4239454635313146 -2 -41423443413631353645343930393742 -00464446333234454232443034464645 -4443343245363035 -0 -6D17F7FCCC6E6E4F829BBB7B2D556132 -D502320CD177AC484ADFBBCBA1D78F9A -4545453835464542 -1 -19A362FFBFF4385428E3ABB3C1222138 -326BB48E2263C2A3EF44FB30ED3ED7DE -3037384232313232 -2 -30323637313431463234414542303939 -00453342423636424545373046393234 -3237364630453245 -0 -A87D0EA3A89F7E52F6C879CB8B1C39E6 -3ADD08C2D81D46E471AE7F8CDDF444C6 -3038443143463046 -1 -DFDF8265858B08A3C3197C430A68A397 -3904411AF9C999D4B72FA4DEDFDC94F4 -3436444544343734 -2 -38374435373830324336394135454241 -00343536444635313532303236373643 -3642454445313641 -0 -B4BB49497253A2143F79BA2BECA3D8BC -298307668058ADE3E3C9C545A8EE9AF6 -3434304244314539 -1 -AEBDFCEDB14DC4E6E08BD1AA0AAAF39B -9F8367015FEBFE93BC696DE3E47BB29C -4638313437373932 -2 -38354244343730314346424133423630 -00354641443446434330303438393633 -4535353042443245 -0 -50DA244D6147D31DB5EE7A4202F9360F -F213F010B3E1315A9EC3E272F38E0590 -3635444143454238 -1 -3C03AB5E8F6B3EA15725D8F73FCDDDE9 -9DBD10B22BA1AC568688421D1B415598 -3246363438373138 -2 -42443731323146304131354546303444 -00393235344541364430413537424433 -4536453844383533 -0 -AF99E30E10FED13ACD5FC776711063B1 -694C798F843C7CCFF798F6CA7D41BAA4 -3245453446443439 -1 -EF90AF9D76294519E5F013C78233F113 -8E558CDACBAAE19E8A24808BA82E29D2 -3141444235373545 -2 -42434533383036393633423433433344 -00364432453031464145414636464441 -3644434238303743 -0 -B50DDDCBD628F5E10164DE1A2CDD1D55 -A31B4EC91A794B2C9F3C2DEA9E19A88B -3239374239443446 -1 -50B830358F43C7EC3EC107560E3B0AC9 -1E68ED907D3FEF7F035D454C51FBEF1F -4244303733324438 -2 -36374434324135413030433336413138 -00364232323545453245353630324530 -3738394638303244 -0 -109700F11D9F269094DA89306602F9A5 -0A35BA3A6D70808F2F0F3B69DDDE4E17 -3836444131323431 -1 -C286DAC5D583E1FE492C9DE87640741C -E79C48BBDDF75EE8343540612B723955 -4434433939444435 -2 -38413635443946303437344245433041 -00423834373738354234453532424146 -3433383330314130 -0 -8229185CF585400DED6D05BC551A3782 -3576F816095E6C2DDCBDED034136625F -3632383342373346 -1 -30F7B5A4F5B055E6250067809E08C321 -B623EBE2D9E7DBAA2EC7C280E4A85A52 -3342393637464131 -2 -43464434353931334446304344394637 -00344543464539324132393131333236 -3436414443383835 -0 -D53924A73B6CDE6883E9FF33C16B1099 -6B9658E6FD1C5A05DE3E5993D53D5B41 -3430354139364436 -1 -78D5D8A424716607583C33DD233C23C4 -33663651FD01FA545981051146985869 -3130393832333942 -2 -36414534384230304535383537374441 -00333438373245383337303542413031 -3131354136383045 -0 -03014DBD4859248C27579ADE3CF627C6 -3D020FCA0710093268F1E694F61A30D8 -4534333646333644 -1 -D6CB61FB7847171D4FCC8609EB46B28E -591C9A077557BA1BCC63B68941D33FE0 -4643463543413941 -2 -34463838393739373136424345463732 -00414434423734413433463044384231 -4642464542383645 -0 -DF08DAA167D2672B8939949749EDB834 -BB3943B57B4DD5AB96E4AE32AEA85EB6 -3142364233463234 -1 -6371DAD96C7996276228DA56D0BE68C4 -00D336CC5B797751E53854E5056A08A4 -3541413232463230 -2 -35373339423846303441373744343042 -00424136333344394437424636453045 -3145423637363038 -0 -CE4B136FA7D717BAC0C377085855F016 -D755E3065077620DFB4BFCAAB1551A9E -3942463434463438 -1 -E725894B55891720985E7B327526356D -AB48BF60AA21A16E94CD5B47496F2D1A -3145423045434241 -2 -33393337363846464544333942433637 -00364534424439434334444130383539 -4635383137364142 -0 -7E4450F9D3282B6BEE36F18753097A98 -C6AD0799071221A7B9F5C5F3684AB539 -4631323539313437 -1 -7F752BEB00EC10B97961BBBE5D76FC94 -E97C824560D9DEAB603CE81ABE35B8F1 -4637313345323737 -2 -38364239324431353339303138334445 -00363842444633433634463437374343 -3438413032444537 -0 -6AA3824B3BC2453BF4C5ED0ED2F4F98E -E09868DF0EC80800BF12F0ED3C9208CE -4442334639343443 -1 -BA99423783067EFF2AE87F5DF8C2E4C1 -47361D2E65308F8A1329C7036C2351D1 -3835343030373038 -2 -36433632304631323741363242393631 -00383934423133333637333645334543 -3232304241303345 -0 -57A482B4C641939EC5894F3B59EF10EE -162C4AA9C54B4FCE677C03AAE34E01CF -4236344235354543 -1 -66F38445B1B90F642799F74D8224DC55 -F67C8B9E8BAF0CB8F1C4A365F5F730A7 -4441354246314144 -2 -45373333443131394144304335304336 -00394336323730463135423037354543 -4337424442423235 -0 -FA942B0337DFA3E3A407A480EBFB1192 -2AA770D0251AF8D95D7D8D6BB63B5B21 -4546314331323542 -1 -E4A44893FDFDBCD0F9D088B2FFBFF0B5 -BC0707FDD287F189BB7C947517D32B0F -3241463845423439 -2 -42303234364634333241423233453437 -00333638303932323332413244454241 -4243464143383131 -0 -FA0F49FDF1F1A90C23425CE2647CAA47 -DA291E0FD8580F32429C63FFC9A3D0F8 -3430454146324431 -1 -44BB7C653DE85DE2DB179ADF06FDA6C4 -3564770545120BAF7F19D7DC26D165A7 -3534414343313333 -2 -31373746394244354539454335303035 -00324338454332333043464344323046 -3937364339333138 -0 -27E7E463FF5A0F6C0BDA9AC23908D26B -EA19D50BBCD129485E742BD265CA6C55 -3031333943304641 -1 -81510CDF6A2B32930CDAD6D343239C89 -156B09697E38A6CEF959A84A820E2074 -3939443630314636 -2 -39454446353330323739343833353237 -00343832304131413345303346304135 -3239324643443841 -0 -E519929B787713452F56E7308ABEE45F -0469747A8B5C1C2F4FAE1511444343A2 -4131314634313143 -1 -E1A15B2E10AAFFF45988C108B579965B -3BDBAD3BB1FCEB942048A8AE294A952F -3034384141443833 -2 -44373041374136364437354239463846 -00333146443139443632383046303343 -4131444446303430 -0 -B43A11ED4E39A18CC92DDA499F10564A -B261989554F3834EB0E1F3DEEA4A58FB -3334324145383143 -1 -0988879371EB01A3C5EABA510E800E12 -4485E64CE99279AF9A855E8CF5162762 -3542344142433142 -2 -46444135323935393645433142423133 -00433833424445303833413346424533 -3341324632464145 -0 -B53E3F59470371D9B5F125A77214C8D8 -58F990C5A324A367E22F551AAD362BD8 -3142373335444442 -1 -59610BE10E4AD9157C157D8942804E1B -EB32D9252067E80307E863ACA0D79D7B -3331453845374136 -2 -46453535434230424435364146414141 -00323542434133304231383939324631 -4435463835333839 -0 -200D81256EDAD578596CDE1425FF62CA -1BA4C5216D6F6C1367A23F529F6014B9 -3044434338443735 -1 -2608A6A352B86D46479F0BB33F49D446 -DF57C38791D5F169BF4ACD73CAAE205A -4642453734424230 -2 -46374333343435443644313930314346 -00323833333330334545413339353334 -3833323934434231 -0 -0712BB420B4D524087DA962523FE49C9 -712A3DA32A70F0A01A28DE8CFC9D4CCA -3141453545333234 -1 -24A7C1C6DADF06810A0865CC59D30DA2 -2F4632E83EE705AE1A4B5F860F631152 -3632334143324544 -2 -45443142363442453743384332353841 -00333141353644423830363532343236 -3044313642413639 -0 -8399A7672E982D0A9D4C29E58A1D8C92 -9E0FB92B81125E77746480DE3746FBE4 -4334323238443046 -1 -1D0A298E969AFE3B32502E433289BDC5 -79A418E86139AF97032E3F1E187E01E8 -3744303531363933 -2 -46363230464141353036363333424545 -00354331334435414235304342393037 -4638414637343935 -0 -EFC5E9CB67DCA1C72FF47BB7F2933C75 -CFD1911E74502DAE7884EF8914E04389 -3532313443423943 -1 -23F789A2A104C6CD9BCC48B2CBF46C96 -7542736BA36B8B642A7EE5160FFA0A35 -4630443945303541 -2 -32303730374636424630374542424436 -00464142464134454231383931443446 -4132363241393431 -0 -EFB05E04273C691601F6F57B2671AA9B -C0A7FDD5D43A23F90F65E67D7FE884CE -3238444137343536 -1 -B89565DE8AA6A74F16D719308F58D24C -04BE3242B739556EF907700C8BF5721A -3439434342314632 -2 -45393337343636334234413836443931 -00354437443233314246443731443937 -4435363935333439 -0 -7789C93B875AC260207CBE0AFF28C755 -CFAD802586C9FC2405D31A168CEC9B35 -3839344346424641 -1 -CDC34C60097D3E242F32A288C7209CD0 -E4BB7168A48C02EE472C0EC7DDBE6940 -4430333443413746 -2 -31304232363737303946394636313244 -00313839363638333742373335463345 -3839383838414239 -0 -72B24DC130F5C83FAC7CDEDB6D854322 -0AEBDEF21770190D1C2B60C4AD7E71E3 -3439374131454141 -1 -69D6F35DEB89C118B1154D3D5EF5DF33 -B40CDFA8F88FB5EDBABDA1C9C1BE8854 -4132454644463233 -2 -35344236443235353533414441423033 -00324535383730323946323645343945 -4242323843383435 -0 -1857A4EB6D576172642946773A26F5FF -5E4E5416CA8B76B87C71C3C17C15FEC4 -3242434644313432 -1 -A40A2E2E0157F3E72C91CB8AC13395FD -C826447921847A28FDA2E46EB156A757 -3330304330313032 -2 -34433533464232463145444342444137 -00364345424330454330414331414543 -3638353342414130 -0 -03171D729A8476269BB01BB7B35A3075 -BB91B42EF60711F3E95C8625A0FA72A1 -4543314437334338 -1 -C8FC85AE8173AF9E9A979274C90D0894 -84E7AD498F0FE3359AA5873E1AA8AC52 -3633364433413037 -2 -39413541453441373330384634323143 -00463631433441323830464241303243 -3245393033424141 -0 -59BAF4587575454D5C265DD6A4F2E959 -0C24F38FB754AED303FEA94EDD2869AB -3741313545424331 -1 -C7EFFDAECF5AEDCB81F94CB15C0EDBCD -B437FCE79D6968A55258ABA19E53569C -3330463932423335 -2 -34363543303434343741443831364438 -00323639313639343738453934314633 -4238463639313533 -0 -70B0BF86CCC3838EFAE98681DF3EF35B -8CCC8920958DAB355EF42A5CE6312B31 -3841363846414336 -1 -956C83BA3B9114839496BF3C91BD7A49 -AB0663F378CB3335FA76BB0571EE27F2 -4445374341354130 -2 -34423545323132413631464646363543 -00344535394536364445333733443738 -3741443637423041 -0 -B1113EBD4DF398E3E3692732B725FFE1 -9A3C29BA3977DC3BA069CB202B644760 -4643423144454233 -1 -0A6B313E45144610F68518DB16E5F354 -9C2CFB2682F0266265E1672528423E3B -4631373039323541 -2 -46303036433942344242363142313743 -00323032364642363132364135433436 -4534304337413546 -0 -754387749B1D129DC583778C3C640476 -9EAAC248C2BB82CC6985D76902EB4C81 -4135453645394239 -1 -AF6676D988620831B33667AB2219FD33 -12B6B9FCF0BCAEF8E2D1529FCBECF6FE -4431443034393734 -2 -46363132333434303932353937373745 -00344535303532453630454139364635 -4445463446353938 -0 -D13B41A3ABDBE878C8CC71232F5C5469 -8F3DC6585F24425620963C67EDBC34A1 -4345443032313042 -1 -3F0283DA08624629D8E29AB6C1A8EE19 -5935A9BAD625EAB963D3F02851F98A42 -4431334145434530 -2 -32343042363133424142354330374337 -00393841323532463635393431373545 -4134353942413837 -0 -160185A938DF6D63A14A44D20B10E8F1 -1FC51BB08CE1FA6F1FB05409AAA3F548 -4437393338434532 -1 -096C224E4D882CFCA3567F5C811CBEA9 -D1C420DD36A889003910833748DB4BFE -3136464331453432 -2 -33303242373631313942433844453936 -00324141463232303831444130314339 -4242443730303541 -0 -E839E11B5DB63C1A775B7F0CB2337FF7 -63412C60CCBBD887617056B7540032BC -4634343641353833 -1 -C188137DE394A0E19C199E53E10C0917 -9A3494B1C944F087CC7F31B031D4D081 -3046373234433446 -2 -41453734464132323130443531314131 -00374542343134343042373437423338 -3034394542324332 -0 -76A3B31A6BCD53ABB96D6F2AADB9FE0C -6FED9E48420CCAB33E617C0DDD882F27 -3531353442383644 -1 -96F57F82CAA44B3E3A1A26E6117A88C1 -1CD87FA5315DAE0407E9741534842A23 -3836454332394430 -2 -46374432394530454634463543343341 -00303431363835454533423043383037 -3941424239353338 -0 -6529548E09749537016CAD3E6EA19F9D -B3B0B0146C057CA94AA63B01F3F29262 -3534434532434431 -1 -40BE018815923F9679ADE9055BD07F82 -B4BB629F91E537D1C7155907DD9C876F -3637423731333041 -2 -34423338394439373439323542414434 -00373041313138373933464136463443 -4244304635393138 -0 -4BE5C32DF315A137ACA3F2379166EB5B -5DD122E96756119BD89920C1385CF974 -3231344346443333 -1 -B1E7A8FC857C394E34A1C8BE473FA393 -1F27EFBC8342A4BA2CA7F514610E3EBA -3839453746343431 -2 -41464243393943413738303734324639 -00444336304332383530463434333543 -3733433934343946 -0 -A7AA74606990ECF721D29C6DBF8FA66B -26F92CFF753BFF15E42F52072798EC33 -4134413344364434 -1 -697F10749C3EDA83A0A63B51D51E70CE -D4E7537DFC282C4AC91759E269864374 -4133433945384237 -2 -35393041353936373035343443423236 -00374644343737454133373842324643 -3531373137313733 -0 -1A8CB5E1F003A9563FCE533CC0E479F9 -CCC4CE974E6AF8ACCBDF85310FE0CBA1 -3342453834344635 -1 -A61C7DC5DEDED0DBDD2EE434B3C0F7CF -9034A3EF91437E9950495E05A9F77158 -4641434536374243 -2 -35423435383243304446353432324246 -00423235373343364438343330464632 -3144443030313939 -0 -526A4A1C2E8D83544A77F273CD5B9724 -090E22EC425644325A88639ED5885C6E -3543354545324543 -1 -68A6A80B701FDF9E653E649B7D437C0E -314CFBE1E8856A50F671F02EBE68EF9F -4231363043383037 -2 -38333339424330344642303937464534 -00324242414346354435353945363141 -3132433345313536 -0 -FED97C0266B5A172CFC289F102E8443C -20E4BB6AB181EA59F1DE4B260544DACA -3639423136423635 -1 -36B000E3EECA4298AFF9065C15E235EC -6465D1A2ABC460E513FEA08784C46315 -3739384335423635 -2 -31413335313341314635354442343242 -00434234443645353037313532374144 -3938383441343143 -0 -9CCE0DBCF4AC949DCE1D4601150D40DC -26079448086CC08F141DBF72F1053B3F -3544453631383830 -1 -4C047A06AA9C729DB16D4D72FE5DAE66 -7EB87009B0882C7B035CD2AEB233A753 -3741353839393543 -2 -41423730423130304344343036344339 -00424334343930423335344345393944 -3733444130323339 -0 -61C4A7B500C5E2857170FC476DFFF7ED -E039D2635A7806FE26C0EBF94E9A057D -3933303434303530 -1 -06AD10E0BB9BC436187A50756BD39485 -64573AA7BC97C5327DC49F0E6845F22F -4238463934423031 -2 -42323131413541303542333634323334 -00303133313846433045353539363637 -3643354239353836 -0 -89AEB2EE4360FC494D8ABCDEF820A2CF -13DD19E0AFC5BE76705A58FA17C56FD9 -4143373431323436 -1 -6A190F83E1569953658D154F337E9EAC -31C98BB47C91EEFE791C50980C9DD98D -4443363843433335 -2 -37324138314231364532333633344231 -00323143383131354438444134304630 -3842423632313035 -0 -EA2201A1445F82C3AB97F417E0C3CC90 -273100DFAAF20CB2E5408EC71EF34E6B -4236454332463330 -1 -2D0A9E3D27078C8934BF9EB8FEE37193 -F82219A8A0631AD1C5001A819B471438 -3833303234373946 -2 -34424635433031373141423330414539 -00303934323646413946444436364346 -3846333338373430 -0 -7E724E000D3EB4E2918BFB7DEE4AB9EF -1299A748834C9DD81AA06990766C9AC9 -4341333841343042 -1 -024D1F947737F1645BF10872042EBB24 -F494765EA770D76BD729C9D8633782F8 -3038443635343644 -2 -45423242423841393337393344383442 -00424446303646304543373331443033 -3436354230423539 -0 -DCE593194CBF731925A08365E2ECF8D6 -61275491FF24E8F4D804086CE09689BF -3830323439444443 -1 -3D9FC47050B0E2B4B26EBBF09EEB13BF -86A235462673DCF26E2B676CCAD3105E -4238353943334342 -2 -38423638383842363141344532353732 -00394644443731383036324339453838 -3342323932424537 -0 -F4F8A9D7BC51DDBD560A29588C410E96 -4D58C1C007597923F54BD76A48D64E9F -4531423639374441 -1 -4D34EC3DC83E64A45F41765EC31762CD -CAFABFF09C9B66BE28113AA60E7B5F4C -3537384237454446 -2 -35363238304638373443314530304442 -00353441393346464237413235373137 -4231343242434435 -0 -1B1197466417B87EFE686B1725CEFFD2 -8E4962465A7B75B3DCEA8AF496F3D155 -3032323132413836 -1 -25C2BB2134F53A4815226AAE02295326 -1C2F3CE8DADAFFAE36B8BB3E30A35FEF -4238343439363432 -2 -33453533363246393837333133423437 -00363841384337333443374432433038 -4541423237423032 -0 -AB8076B7C66C194AAAB9A0352049D135 -CF62289DB82D99BBC0AF7396CFCA7D48 -3745454430443638 -1 -56CB2184326823350E17259F96EB4F1A -70F3EE626F4472E08637F6E076EC71EA -4438443236434530 -2 -33334345324546344336364542454339 -00453732313537454434303331453332 -3235434346304139 -0 -6AC55329AEAAF6BE9659E1647CB7CAD7 -3DEF1DAE5CB4FD7F5DB20FCE44745283 -3841334138324535 -1 -449B293342E9B7273AA8D933DF4AD3BC -9DC12F3AB082ADCE1CABFE90AE108F16 -3844374243343039 -2 -34314645313535413130303136394138 -00434235303539383231334635333832 -4339383246433935 -0 -BDE409FF9B0575D773D220BE6DDD0B4A -864F5DF36BB19DF01C3BBB2B1FD85694 -4144394232453534 -1 -51AB755C9EF469324333FEF5AD64D95A -8CC5AA760A2A0A2A47EE47298FC8B486 -3342453241424237 -2 -38343946363435353743463739344231 -00333741304434333833353245314145 -3546323430333742 -0 -CEB1FE9C42443B087A7B51B808F9FA1E -FA7FBD66021B8A764691B7B92E10A730 -3731304435353243 -1 -319772324FA2480ADBE3C99694F353E9 -692A05E343E9A939C50A8614FF343D20 -3041423639383338 -2 -38364339443546444145424433393032 -00354645333545334639393832433042 -3141344442393630 -0 -8535232E1D5C923288EDBA47DD51D8FC -8FE7DA73501900D44E1CE18EE4223306 -3143464532454343 -1 -5AB9FFD7C9045FDBF11BB6D39FE10CEA -EC5B2B337533CC273B678515102DCF05 -3346393441413845 -2 -32304642354442414234443433413031 -00443933394544434536303830383635 -3944463335344332 -0 -44C003A784FBEC033231546D4C02BFCA -B58A3223533D2EF665FFF5E5FD5D5082 -3034363531324643 -1 -DC795A1A403CA3E482C06315EBBEF824 -0485A9C7C2F0C1497CB17A9DD2A859F9 -3038433738364439 -2 -35463437414232303639313537353444 -00423631464534463630364536343730 -3839443734383744 -0 -97FC40186E589EAC4185DDCEB742CB18 -0648684291D181C57A6B8B2876B0B05F -3431454643304632 -1 -DA0ACF0E8659046C18244AD09FBFB47A -CEEEFB17DC7DC96AC9169E68B20E3B02 -3444464139433530 -2 -41423230463744383144364533334635 -00393045443543313242424238304244 -4431464534384433 -0 -2F756690F500F6E715BBD0A93400341A -2CE60387C3C20D2E09B80C4C8DD78FB9 -4538364546333630 -1 -A87A17B88A9D2DBE1BDD5068A998D2EF -6F2E8C90826C3D47CDE664D399396BD9 -3433423431353238 -2 -37363837373443423035353334374136 -00433941334532373244423332444232 -3536383541343246 -0 -75FEEE171D280BD9C9FED95112773505 -DDCFAD76C9920A4189493D4C3CB5F47E -3544344331303731 -1 -DF40316A71955CAEF11C345C266A05C8 -36BCF662C51C7A64453B7A02830BA7B6 -4434323132314135 -2 -45313241334134384444303036303133 -00323938333930314432324634443445 -3845354436334537 -0 -090D99868D17F75494866F990AD3DD5F -0AFB6AF00DB872F9F4F02F1B5FB3FDC7 -3534363846423043 -1 -E2DDA28D73011CA976EE2C308789EA43 -1AC058A9D5D8B2BCD6AFBEDA2312CAD1 -3341433338453741 -2 -37453830323442303338333533324137 -00464432324146353442384341463644 -3133433243434337 -0 -4F0C73C6A28474024A428A56B62EAD93 -55FA8002459D1C1ADCE9EB1D8E68EEB5 -3041353246303332 -1 -335493A52194911BDB1A2969F6DF4422 -9DE265C3E30695EBF4F83C0B8B294DD5 -4530333733374438 -2 -43303233333532344536334633414531 -00383446314437464441363031343930 -3039303035313632 -0 -0E2FC8D2824EAD0D7B57920C3DED2305 -E6499CBC7D119A7E6C05FD6EE50DDC9E -4645373234393632 -1 -A041655261E067CF5A0E5929844F16D5 -3CA90186A6DE6129BA59CE66F8265CE6 -4646443346324333 -2 -39354645313242453535354445363436 -00324137383831373845413730364138 -3142313333453141 -0 -6E75C5C72F2DD19339B191368E2EA566 -9B66D033B50F57BBD51C4EC648DE1049 -4646343032464637 -1 -09154204EF0FB20635FCFABFA88DB0EB -76CC121FE3F9A3050008EB5742868D62 -3533313042413031 -2 -43313337323938433031463739303434 -00373933373831434232433643433743 -3232394243373646 -0 -6E81C1C4BBE609B2CAE5E8ED973B81BE -9D76B1167B7F95231E3FF99C3C4F44C1 -3232453442363042 -1 -09A40A1C4E2035EFCE5987D332B27BEC -C7F9F2321B2989B63D28AF723B79C77B -3241393131443238 -2 -44383942353242454538414544303143 -00434443303535324646343043363838 -3834323233463946 -0 -C35B1FCB5455B78B246E23313C7FF46A -21C9C92F781D0FA48F803C6EC2F21779 -3736444338384236 -1 -1B5C2FF72FC3DF6274FC10A1BBCA80B4 -412DD4604EC5E47637A890A4354350DC -3441323930434542 -2 -45334237444436353233323636344532 -00354444343232384335314331463733 -4439324634364130 -0 -B3E6D24E67B363054532B106D67D6D18 -D3C0BC9D5EBD1FB37700E8B083F0AD13 -3946433743324345 -1 -550C24A388BF7BDCDC56B0BD9F713CBE -177C08B5CB88DF195FA8FF8714C0677E -4339464644444230 -2 -45433631313344333230323037354237 -00463246453733413032394446344539 -3631364335373634 -0 -DA14AFB09D484F651761801BBF6E0A50 -74B24E9B11D7BD853C9C4793B05B9C1E -4434434637413239 -1 -6951C95D5F6C9092258AB8B3CB53DEC8 -C18CB25D6AEE072A18BD1DF3A3EC7348 -3142374230304339 -2 -37313735424133373839303330304532 -00453639413746423237363237334234 -3737323335354446 -0 -71FF0A44660990C4034FAFB10DD97E1A -991EE72DE48275E7E1FA862707DC5C4C -3132364344413335 -1 -DCC1F94937F98C0550F465F7D1EDFAD5 -B93DAEFE6E00AC62D0FBFCD392658144 -4238344646424142 -2 -33304630363641303145363232444644 -00413436313337434242424136353543 -3834443737313630 -0 -36F867063DB73F99346EB84FB865FB20 -46781307C6A4FE729249D43A3C9EDA47 -3538454346394330 -1 -81CD1F9697116247546650E745C40DA6 -1CE001E01A15B103BFAF20DDDE09940F -3941463943363238 -2 -38414530373331383341384142444236 -00303946373135304234413741434646 -3733303743303438 -0 -3FEBE425A0CE46643FF55E1933E12AFA -9C7EDD0341F660D04C920AB1CBB58460 -4634333637344542 -1 -258632BAD95F8FB5E927283B07ABEEEB -FE982FB49413533B9901488D0A0FB130 -4541303242463630 -2 -46333541383538314530344644444535 -00393832314439463739313239383336 -4541454432323139 -0 -D68396BC03B42A7E8EBD481F54FF8BF8 -D2FF5CFB693419CDF76EDD7D879D4BAD -3836463042383139 -1 -4D4B472D886C8D6C61D7B6805D8C877E -0FDD074F9A99E4A192A20CD655092E4B -4242354532303838 -2 -36444441443245424243464144333441 -00394534463133414438394638313742 -3445413641434444 -0 -EADE30ACCB03D72E663C2E7D910DC3DC -E9642A06930C97620076F6C066916DA2 -3434363136344331 -1 -F5A8AF4CC7E332E8FA1A05C9BF8B0906 -B1C9A071B2301D94D8A8D979C27F6C95 -4342314142413438 -2 -34413835343844444433383338373439 -00353642353546313030434241303436 -3339353244373842 -0 -19F39534C7B2CEAA1B96FF7DB45484D8 -561B2A823C2AAD7EFD150AE823752090 -3932464541434238 -1 -475AF89A6F758DABA0FEBC487EDB8D92 -93529DEB8A493CF5519065DF12F64593 -3037454237454537 -2 -37383744374544313731324432413342 -00393431363138363836324634303638 -3445393742393634 -0 -7F8DE7DD9E1E0319DA8599978832B6D3 -3A0A6EBCFC2B1EE3489E3A2B404CA3D3 -3036333734303842 -1 -AFC374B865EE00AE1E8E90D284E28AD5 -391C7C4DF8E3AED80DBAA0FA2628A43C -3631424343413244 -2 -35373536413432333742323545393931 -00323946453236343332303043324430 -4632463438373731 -0 -9030CFB22D1CE74D7801351629261444 -830B5977CAB4E15C53783C5E3C6B5898 -4646414133434441 -1 -7C30E877B5EDABF9FBAA6C13344104AB -F83D78B5C5FD2B0363F24BC0F359C935 -4238463431363834 -2 -35383631463937443943443439374145 -00303430424441363539413630324146 -3544354343344537 -0 -09FFFA737AA8DB675D15413061872886 -49C5C5E67416C13A1630D3D6D4D2FABF -4536464546374238 -1 -37728BB50930C91759AB4DF205A10EFA -AF63247E5CB605E38B8E79366B32B83F -3938323845433738 -2 -33423246314130333935383836333146 -00453535463142383944303739384633 -4637393641363231 -0 -135B7EEE05263E2CE5B25C6FF5929675 -3D0749062347A84264ACA39C4475D973 -4441464535463245 -1 -DAF7968F113E0E82EA7C1BB22304141A -B755518AFA0D51664016134EF940401D -3437343245463137 -2 -33433343464132363842323135364535 -00453942383934443039464638303639 -3930313736444536 -0 -C4EBDE87B9765ABEE83A7D56DF236B45 -BF2854D3E4F7EFE28A0C3E6BDAF0D896 -3942463835314545 -1 -484FB2047BEB1F069DA15B0669F174F5 -FC14C346687AFF16DBA363282AFB5FB7 -4241353237443931 -2 -37453539314433413241393243424538 -00353746303232424338453335373445 -4545453638303031 -0 -0428B5DF155029BDB67A51D72ACE0188 -E21E6ED191B5AFA4BFB15857715E627C -3644353741393144 -1 -18E7D318616E17A833484F56B630DDA4 -98F9728CA39465392A098C21811D005A -3735304433383643 -2 -33373731353444364433343245324546 -00383545354245433145393436463033 -3839333930363744 -0 -4640523D4426712203DD21C665BD8E9C -F9B581A084C837EF1B539C769C3D861A -3532384136353133 -1 -A7C3FDE09E8A7C295C2F30DA619C2214 -B407B12EF51F7387BE456BC40F8F6845 -4336463439354334 -2 -38413446333043373237414132354533 -00314133384242343141384146344546 -3033433638353233 -0 -A9E33C20F5E8BD7E704F1DBCCA90662C -CECF31BF370F784745E847D90E727253 -4446363130333832 -1 -C31A80EFDFF210ADBA6B5F316DD5C701 -43E47A2FB9CC1DEBC89187FDB98BB78C -3238323932314632 -2 -32384541383844324139394432363332 -00324336333844353046463231453446 -3231353833344142 -0 -60673942E2E3D2378526EEFC04612FCA -2B9B5DF7AA1C75229F6033C159C239CF -4537423646383939 -1 -78F5D6D8242BB87B9ECEC0B99253C9B9 -319660D7E78872DA359A296E80D0DD5D -3043453836353632 -2 -33444442443730443631363338393142 -00463138443633453331363936434337 -3642434139313233 -0 -46BD98D68443E263A7126A048A7B980C -C06F99FFC9F379ED974F26483100D101 -3435353243354632 -1 -356586A31C2B7D04B970771F924EC69D -CCA013A410512C04D3C297029C034F59 -3632363539304539 -2 -41364141393536373143354545423132 -00433645443236333443384444363643 -4533413846323946 -0 -83F04BC530A8FF2D4E42FC10ACE841F2 -6002FE2BA3B74352E0DD31BA909111F5 -3633324638383639 -1 -4EFAC23A112E1CACB3371DEC6E5FC943 -74048F86CA01ACC69D9190C6ECE698CF -3336394146464132 -2 -35363436453133464636303437364345 -00453231353136383746323645433833 -4244354635443337 -0 -FBDE80F8AD3A440A895E88BF0BC4FD67 -85865EC57D1DC418643030A4B5788FFC -4641354443384342 -1 -318E97703544F3DD503AA2A6B515F230 -73207A870CD24FDEB3C0FB445A60851E -3134443431304443 -2 -41414331353735304132353333314439 -00334434383143413639453739433331 -3737443738354143 -0 -FC28F466C1695EF3BF9AA3BB322426A3 -E0863F41C93FEF4E3CDDD01A6E70C169 -4142324632373043 -1 -F6FE77F6836F6822C71AE28DFDFDBD5C -CFD8D57F06B3E2391EEB0164AD4B5373 -3331343944413935 -2 -41324245383634334630433644433142 -00394239374430424534344245453130 -4236433039463030 -0 -61B7E4E82B2DD64F526CD97071228909 -AE42E0FAC7A8ABBFE75B9FE27DD59D20 -3232334138374537 -1 -51567D6200AFF4A996AD1CE6E3390BCB -285C685DED9BCEEAA5053256359F92E2 -4436373435364241 -2 -35453633324243413436444641333834 -00394133423335384143434633384146 -4144393139454143 -0 -6A6E66384224B7B000F4DD8B96C8C4DD -4F7D156E4BAD094CA0CAC7A31A475CD9 -3036323231454435 -1 -E17204203B077466D3B011CBCCE71739 -1D5ABD3C49ABA3FBB0792ED9086E0C37 -3835423632453334 -2 -31304246454236344338324241423332 -00313244463239373834444132304537 -3330463737373841 -0 -8C4AE6927908DECAD58F7C0C9DE62C1D -FC8654A850AA646C38F9B0BF0E9314B8 -3338303134363530 -1 -2FBAF825A6796F614DBD95FD129364F7 -DB07A285E11671B56117727BE372FFCA -3339383638333937 -2 -42424345323041344232363137374235 -00413832363531414539303643414438 -3935383732384445 -0 -D42FC508099ABDE0F354B59E548F5573 -7501B09087B9B67928C82755419FEA0E -4541303042413436 -1 -3E4F994AA302630A9D53BB85140367F0 -85052A370B9E9577C2A27AB0D8DCA1FE -4141314344394443 -2 -45354230333334383635303939353638 -00333137333435444536394346373842 -3241333146343046 -0 -94F0147F67F8FAED4528DAE025AD99FC -DBAE63360662077B55553B1060137C05 -3030443333314239 -1 -2597634A8E9B72FB6614D68894EFFFBC -563EA566AC6EAB1A36D93746063B4C63 -3841413933323643 -2 -38333830364632423833324443324230 -00383137353930453341373744453343 -4430344236423330 -0 -B70776AE03281C923345A29018F36D31 -10F8EC40AFFEB95A2943E0F7AE1D7985 -3039453638303130 -1 -83F1D90A1907C3F988A9A50A6431DC36 -A362254E841FE271DC05B54C685030C7 -4444333337343633 -2 -38333444393938453732324642393532 -00454134383937353741394545463141 -3332373946314430 -0 -80411D19205A4E6B4C62855480716FC0 -57925B91F858469DE1E4BBECEF6C9E14 -4138423331333239 -1 -B69B2F30895A147D62C47DA1D04BA2C6 -53EE996DD33947C918E9C8D3755CA3E3 -4543434341464535 -2 -38394439353834354139423733433239 -00323536433931423644373043363546 -3934374443393637 -0 -3526BDC1F67661DC0BE86E6D74105F4C -7C0288F06128B177722E940E612B4CDF -3533374142424636 -1 -DBA00A1D14EC05928691C6B3B8CFCC2B -0438CB91967FB303B0B3F4176CCA34D6 -4231443230453339 -2 -41343846313836323843343937413533 -00303631314136434333454331313539 -4236413338353446 -0 -69AF36E12DEB4C1D33F645737F1BB887 -F8F412E5704F5A17AC51BF49742A4078 -4242324333384543 -1 -CE6AD714833096DFA3BCAE07E4F5490E -0AC23E137664EC201F955CE1588C1195 -3536443231434446 -2 -35464542324531383343424138303639 -00344233374234433132453245423239 -3234384441354635 -0 -739ABCF02EF2B3E01DB39ED2F2CC669B -5DD1EBAD2BF28A73EE13BC14C5228CFC -4430384645393831 -1 -60139E6C32837ADCDD502F23EC63F256 -C8304558DDF941CDFE65DD8847B8ECAB -4245434646333435 -2 -39364439333542454445314433323837 -00383333303531423344413330463837 -3931303431433035 -0 -1A34726B634ACC119617037E4FE5F779 -E8E972864AB4A233A98BD681F14F0ADF -3637363943313739 -1 -093CA114C3A46A9AE45C55A6047B872B -50C1E1D6B8D0D197F01557351B2F8895 -4539303938393239 -2 -42333443373242394634413131383238 -00433930324445313645414537433834 -3835373331323642 -0 -668EC4ABE9BC6BD57F8E5612776553E4 -9FB9B8926478527FAEA26A8B9FCE03F6 -4434333537454536 -1 -EF434A2335357DAF76A831D13266CF86 -3B8A71B8078F966BD81E886F92042161 -3932393943353032 -2 -32334631383344323945454641464637 -00363143364231464441393946394336 -4445463730433038 -0 -A37990D3B5C637F7A1672EC7FD8C3605 -DD0BD8A2C4EED8E4EA99A3AAA76D32D1 -3832433135413345 -1 -5FAD01FA15D5926ED226F39188C5094C -622801082E7C97B2D157EFA0CB1F4D97 -4236334233443438 -2 -32323336443345364231374443414144 -00373231324232443136393533444443 -3343433845304141 -0 -48149E133EDD3DD111FD5D7762D42F36 -D80C454F5D7B1BE16E828D56C886A9DD -3838343542324236 -1 -D4F341897F960DCA5FF8099B65B955C3 -F526FE7DB6F88ABDB7F4F06D19C1E6C6 -3538463236434637 -2 -37394246323739364131364644363932 -00333830354330413446434143423238 -4143334143413546 -0 -96A4B64EFCCBF6D0432F5AF64B9C048B -8297B9B398EEC865043183776DA9C954 -3331453639374333 -1 -50C7B99697625C0585DCCB4E2641A824 -C5B99BF281B248084AB11CBF705B8806 -3442454338364141 -2 -36334245333532443642383943433039 -00303942333134374432343538454632 -3742463835384632 -0 -8B599CDD4DE6E1C05051637E0410D538 -32DC38B022F5DA0D5D9E84176E53C383 -3543353831373637 -1 -9EA7A9BE05DCE16C6BEFC196730BAF80 -868E0D53924EFDF9140139D6C3D0F51B -3732433233344338 -2 -45434134444533313542463535424231 -00373845464142364337384643343737 -3238374241353846 -0 -F959DF300CAEBDF02994E2F691BD86D5 -49A442FA40A0DC474BBD373C949BE0E5 -4133353732383837 -1 -85749ED269D9F51330512908D5D12B71 -80A146B9F412388C2576857AD3214840 -3330363538314134 -2 -46394437433841453739393243314646 -00464642413337443344334345443042 -4239423331453145 -0 -9BD2B84587D8C339F745455011CEF79F -7FC7F89DEAF72429E5A1773C6CE024B9 -3932383541323432 -1 -3E23C3C017210424E24299837E3065F2 -A9FDE13E463550026969113EF47829E6 -3032354636434343 -2 -38394134343733463345433237383233 -00434244463942464331453244414543 -3030413833423537 -0 -E4AF99337FEDE85C62C0A1B0E38FC0C7 -41724B9492EEC04CAD31A33CA3AE41FB -3238353630383533 -1 -303C45F74AC0224BD7C12AE0978D5508 -21110A015A41B8C171158D45663386A8 -4434333743344135 -2 -39443532424236303635354432303034 -00424635364441333244414531343343 -3843303833323145 -0 -B6A8F13F5FA272E1A13AB3BF4B652EFC -99EFA14C869444E51D8654FA32C290EA -4539393934463941 -1 -DD46A721E86D2AAFC76F6B9B29B1AEB8 -CF07322E89715EA03401190C15668DFD -4130393938343542 -2 diff --git a/4_SC1_KC1/test_cases/in_dec.txt b/4_SC1_KC1/test_cases/in_dec.txt deleted file mode 100644 index bb6d1d6..0000000 --- a/4_SC1_KC1/test_cases/in_dec.txt +++ /dev/null @@ -1,400 +0,0 @@ -74B7F3B773C71DEFE077DE12D6A35593 -E8AB6A4BC7F7A27FE48CDDEF5925B182 -4332343842383744 -1 -CEC37FF48B084D7A25036D3724FD2089 -43FFAC0BA5DF874C11E9560061053142 -4532343131444337 -1 -5574E49C2E6D93BAF15B4569BE977B13 -93180475E68AD55D18AA7BF8E6B8EA16 -3241453342414141 -1 -56A221D0E0A8F642FF8F75714A3D9709 -3AED633DA2927D025E2F2663A110A077 -4142423038373444 -1 -58C352B3934CC67667AE08C2DF0A7C62 -A5454BB51CCEC74646B6775F7DC63BB3 -3344333038373543 -1 -E3F3D21393E10DA37C3BF721646C4D09 -0878E81465172344774EE2B644FC1C86 -4346453741424335 -1 -B4B239FF54607D7F69F10A80340398EC -DC89FA82E490D51D215C5950C8582309 -3830343241393438 -1 -626A0A02E00215F1462A5D3C81B89549 -A7375336EC761CB6290A17E352C2D3B9 -4532434341343036 -1 -819150CCB755E557EB2AC06AD05B9322 -58D480F0346A39AA8930E89F755894ED -3745303230383635 -1 -E483C73D6CEB4D09DF44B87C74A4EE6B -8BF1ACBFFF44DA435E0ABB8E5FBF0B21 -3237344542433935 -1 -EF32E738F03579FAF25B2F96F6B09F00 -06507CB5256417903326DDEE92F16E5E -4632303934454335 -1 -7356721DB8341A279858D35150D70586 -D2A3DB41BC948E2913F21EAF8B15F706 -4632433334394641 -1 -1E67441467058A582BD8439E619E3A39 -F5F8D6A7E3FB63B0869D947BA49EA8F5 -3539323835413844 -1 -EB9A77B1974F1FDF6F9AB74BA9108C09 -F23F324CE5C922C7706D16DD344964A2 -4238463139333045 -1 -B700A9C6A828B2403B568FC1315523F3 -143351BCC8B9140DA8D251E32986C7B9 -3941393531334645 -1 -7740B6D71B1893753A5ABE68C98BC518 -04B0C3D5EB79E22791BC1FF8070D47B2 -3142314445334237 -1 -424B64264005671549F540914765D883 -80928942B3D9AF0F4A93912A6992F51C -3930423746453438 -1 -8F857180D147A5103C1E0CB29DC4222F -A87407DEF277FF5BD79ACB16C9D164CD -3339414630374543 -1 -6121E926BFA1B000B2F6714FDD0D1AA9 -806329147731A73FA471172F03567D5A -4337444533463530 -1 -9BF9CF0B03CA6F277052FA5C9FE639E0 -4A2324C41E0794D61C2B494CB02E6527 -4432423246434142 -1 -4846106CC28CE4B123886F31753D2F82 -CB1955B3194F52C4AFD1F17EEC5E3689 -4237383635304343 -1 -65DE19311C0EE313F596A1C7B3ECAB51 -847B1AF16F7D23FA68FF1C615F167C09 -3133323345453642 -1 -CF375042B6381AA02035ED3E64D63F3D -FACF12B653A378D4D56A1F289546479B -4131444243364441 -1 -DD019ADB88C17F7B3257E9968A4B4DA6 -BF2F04A9C22305433849670F532F26F3 -4641443538413745 -1 -01257C6D76FC62891C1246555FDA89BB -54FF4F0052FE5EDB3EE104D73B3FFB1C -3842384432344130 -1 -04A930485F01F037D06F75F6EF63ECF9 -1555534BFDC64041037E684AE010CDD5 -4541463932353741 -1 -6818360E391D5D31AFB1C737A18D7FF7 -B4137C95FA1C012B6E227D7DF1096D2C -3841383346443441 -1 -050F9E818D4CADFA249074E4CA9C8C8E -8A0BF6438538D7901E1D5FF7441FCE5B -3542333134433035 -1 -A18BEB048D2A1754D15C3591739D70FB -909D29FCD72AEB0C4A88CFB898C14DC2 -4442324237303031 -1 -635E441878E5B8812DF7992BC828A561 -205FA344ADEA417C8B3EAD823CB900E0 -3230323837383936 -1 -AE80E88BB425862FB9CE8529F8806E21 -42231D7964BB28305757425542257328 -3938314535453146 -1 -CAD04D10CAE1E7C140B865051F83CBE8 -D97B8A8E3EFC4EB6413DF355C053E725 -4131303531434446 -1 -69525430E1D2CEE7CEC26905E875EFBA -0DF57491BFD3205BDF764DB4837B140E -4344324233464441 -1 -3FA9A1851DD6972AFB50AD5A4D60C394 -A2166589A2EFBCDB5449AEB2D0F07DE1 -3337394631434342 -1 -E9EA035E370E04CBE12E89EC9BAC464A -F2829C5EC500CC05F92B63AF77946E04 -3831434241424139 -1 -BE7838EC1A327AA1D8CF4CED8B86C559 -4ECCA2093B34C450A98418448D79215D -4143383437363142 -1 -5B71522AE649979686288E3BA43CB726 -6C2D3BE02AC1A4B951D44C04F1C8240A -4539373733373442 -1 -10532FA4E7275468116E3007763E1D05 -719028DA5D4F17E36CDD32D919B70C5A -3239303235364443 -1 -C0B2FF02B8DE066121734656BC418CF4 -9B604AC659C32066B3F1449F9EA02667 -3131343938393531 -1 -E1B3B965D389A508DCD205AD1EABFA08 -D450B72A24E8971FE006CB9776A48C27 -3538374530343841 -1 -FC268D03CC013D8BE3E7514EBA52454F -78E597C70568ACE8B2B0678DEA2380A9 -4144303837433544 -1 -81473B87C8CC9266A6DC28DED39751D8 -2B6CEDB605C4202DF8FB932500F4F5CE -3731423130394433 -1 -E04072A7F6928B1EC48A6F03093C9756 -EB50DD062993FF528A07F6EAC2DA2288 -4146393231344431 -1 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -49A95DCCE591F7B6B365DF156E64CD64 -3132424530423543 -1 -59B4348C5B44595F84EA933DB0319841 -CD0E8646DC7ABE7932D1A2DE300783CF -4331373336454546 -1 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -97737C253BCAB0A8E2F6E54F4A6A210F -4332383236443131 -1 -9F85DEE42303A2C0E20A04845DDA1E77 -FDA691B5E227EBDB6CDCF78D0BD898DB -4239304131384335 -1 -577B99301E66233A79C742D8BA46D516 -3F4F44F1EA1DB2F85360CB8BD02B08F3 -4536463730384141 -1 -B637940504D7D3ECC7A0E2531BC68C30 -41393A5E4D0C28F9AA44EFFFDCE8FB18 -3038433244383031 -1 -F77FB55266BC98A50BF4870006392D8C -5C522EB0BBF9C350C41D3A839A668366 -3645333343314437 -1 -F7D03A37117A3B2A7878CE0F425E4D32 -9A813101FA8AA04D332E1518A5FEA593 -3833353532373734 -1 -67A36F4686876575A8A75748DFE2F2B1 -AE30BDB35F6D8667CF75112432CBB2B5 -4531324434303436 -1 -284A056F607D4C1145E881A8BDA3DDBB -14A8D81411D39D815FB9A63F3359FF7B -4639364343423233 -1 -70F6C84CE8C382C03C0630B12924335C -CD12201E26B08B8DD3C627165FFB5E2C -3631453842324533 -1 -10FCCA0F5BE22BC6354A9E005CF4DC03 -8FFBCDC992541CDA91946262B9168B67 -3331443142323145 -1 -A0CDA8870E163704906ABD1D910041E6 -661C31AFCE1B2490BFF314FFBF68B74C -3033343841424430 -1 -FAA8948F8061630A58363079ECC9B2FB -321E07F26CF326C263BAC5373996F345 -3241443835433833 -1 -B7B248BFC3ECF06132869DB2599730E4 -7D8212745BDE2CC731123987C06F9844 -3332314141414144 -1 -967DCCB7D1348E71E00ED10F6D075F82 -C4D705DFE31F91FA19046DD6A9E1054C -4132334346444431 -1 -89ADCE4814674F2ADBFC9B64CDCED57E -5AB6E0C568218BCE4890C12EE2161B80 -4531363630344135 -1 -612477F0E91CDF6F10461BD0C5689622 -F8A24C88FD47217590AEBDB5819CB02A -4134323244323832 -1 -36D4A7380437C957153533AC211177A0 -1D4303FD574854E27863A793783D2E33 -4333463037413345 -1 -EBDE1A8949480A91E59742570BE03005 -E2022DB050184D1D11E47EAD1446C36B -3336463338323539 -1 -67DA408078B78AFF66A1ABF4E682DD63 -8CA941F4B96D6F96D3397950BDF4FD5D -4634433645433133 -1 -D9D4FCA7E35BDB0B532E2CEB135AF536 -4CEE8CD3097B212404B923CF98F5FF65 -3737423535353535 -1 -783D965F7DBBF859FB67E16535AC7DBD -7ECC18259D22F871F236FDAB821EFA97 -3233334535464341 -1 -B419FF8713A4361F19F01A473FCAA2B7 -443DFD0AFFFCDEB9B985C444C30B036C -4337464534303033 -1 -A1E91A4B0B12398704F2FC317CCCB456 -75BB0D9EDC0347F153AC1A3B18265A85 -4145454542303246 -1 -0E49ADF21CB47EAD92F5258A1FE67448 -930280AE8FED9CF9044B117BBAFD24D8 -3632363144314430 -1 -43CFF95811FC29B281A25AF605F2D583 -6BE77F0E6F72B89B447D442E0615C8F4 -4538354441413035 -1 -17F5DD00A5ABB282B16D5F708E4752B0 -FCCAC824E226FF76E94ADB856725238B -3743314638443838 -1 -CA0F7E24288C27AEDA326073B525CEF0 -0B526768F18CC6BEA1DEE4D209768D65 -3736363038423543 -1 -046FC4AA9E7A11FEE74E552661EDFA6D -E22C3B009312AA0B6AC504B920F69FCC -4242433234463030 -1 -158D7BD55C81353E2484BD7B311A1D5B -17563FDC3437F8AE0E77C73FB190CA50 -3244374332304435 -1 -A0522FB24582F4455D0F1A74A5F05D80 -4C78134351B83A43FDE58926487A5B6D -4538373534364643 -1 -8AC26BBE0332914E0FDBC6676CB7D37E -E4A5BDC15B1722979A584017A46C3472 -4546323234303038 -1 -5358BD3E6E224E4770A8D40975DB1B70 -4822819356928E698BB10A09AE40D632 -3044363636394241 -1 -DCB2CFD85A08BF3309A67F712AC23E7D -CA453B37661B56C7781E8298862A8E49 -3843364341464242 -1 -ABDAEAF154E8BF56928E02ACA67517AE -99D8F11A269BAA91B22E3DD75B2BFE9A -4245314336334143 -1 -4E01C49EC33635867FD4502D068211D8 -D08F24382B1A6EB77EBBE92F766D2642 -4338313535323834 -1 -E6F56B406E3F2AD7D7EE1C8C83433AC3 -85828CF74F1E4FC159341624A7447588 -3739434134444142 -1 -0899C97A1868352505E1A79EF91FA922 -18FA6C7315817A61C609CB71E8739632 -4536393532413745 -1 -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -C11A5B77366B63A1805AEBE0FD1FC71A -4539393341313934 -1 -BF9284488756CF5C1C20A0D56A354D51 -A18E6496959FFF5002AF3555E83B65B1 -3931303739393844 -1 -2377F78402F5D3080BA44B7268647A08 -CBBC1283D0D6F58AFA52DD5A4DC26C63 -3139383238373437 -1 -006FF77C82BAAA56CF79EB74FC89AFC6 -49F7894D7C34196F6382A6D57EC8297B -4538443641353341 -1 -00ABF6BBC1BC84F34C70C954B8991C75 -8E5A66E4D28344905F0B60B99F56F831 -3742363637363236 -1 -35401817EC8E97EB7C9D1B1694B36D1D -195475683406FADDFFD6EC21A8CFF8D2 -4237463938354438 -1 -3F341A0BCDC2470F597722F56E4D63A3 -F8275BC2997040459C83B10DECECD9E4 -3446423033423738 -1 -B591BA749679BEFDF89933BC0055B8EB -28028B6EEC984A6F5D6AD0824A04211A -4446433445343243 -1 -AC8D24856CC20CA65DF2E724D706EFFA -2778FB3ABEA696ADD38D97063E980C5B -3839444444433430 -1 -A920914E8C6B5D71FB9966DFF47A4521 -B34A9F13E3B59755CFC91ECC6C230B98 -3842324435463839 -1 -2FDC00F6EDDFFC46CE90182E256831CA -B1086871CD1ADAAAF4F5B87C15B511EB -4642453046413542 -1 -3E11F690B138B27D0C9378A0B58EDF64 -3BB92D7AD568BD0D87997441F7C9FC42 -4231303435333042 -1 -9974637B0B2B3ADABDAD4C3AC7C04B41 -8CFCD1B566266D5EB4B1B811624F16F2 -3135453433393734 -1 -081680A1E34562D71BE30FB608207166 -99825C1E7807523BB68972E4289418A7 -3731334637354144 -1 -CD59D9B1E06C499CAD7167F33D3AA2A6 -D533E8E45A1617EFA396239B9C80B3CB -4434363738413342 -1 -80DEA01EBBE9DB5E32C9F65D63D2E849 -75B166321C29942CD3DCA2772F7ED699 -3131324131423139 -1 -E12A5793592ABB5421C08C51C9664632 -97836A0B75FC57D9690AD14B7B530EB6 -3431333539353830 -1 -D2BA67B083C21C7827CF5D3C5495A59C -885EE7764E9C7FE7E1060CA66118D14E -3046464432314236 -1 diff --git a/4_SC1_KC1/test_cases/in_enc.txt b/4_SC1_KC1/test_cases/in_enc.txt deleted file mode 100644 index 8ff9d0c..0000000 --- a/4_SC1_KC1/test_cases/in_enc.txt +++ /dev/null @@ -1,400 +0,0 @@ -36414533334639413632443731383341 -00363933314641433944414232423336 -4332343842383744 -0 -30394531313143374531453741434236 -00443537383945344232443642343431 -4532343131444337 -0 -42393136354343343538453139394342 -00384341433042423246433443384243 -3241453342414141 -0 -46334538434234353433413545423933 -00394635314231333546373039314135 -4142423038373444 -0 -42463239414244354231414346333839 -00303434314539434134433242304642 -3344333038373543 -0 -43363142414135324530353342344333 -00344233354145383832383039444434 -4346453741424335 -0 -41414335453838344342334543374442 -00343346323034454632353944324539 -3830343241393438 -0 -30333543423237343443423930413633 -00323536343346443334464444343637 -4532434341343036 -0 -34314534433438413939363330414139 -00353143393733373930333334333934 -3745303230383635 -0 -35463835393245333041323230354134 -00454345313533383433413442313930 -3237344542433935 -0 -39453739333144433635304337343531 -00353834363234383938373535304141 -4632303934454335 -0 -46463736334337463844313444444646 -00433631433043423243343641314233 -4632433334394641 -0 -37393135363134463541324143394530 -00343633353137343433373844363243 -3539323835413844 -0 -45333841433741394432333943394230 -00363841434136323438413932323741 -4238463139333045 -0 -35323731433332453943323144313536 -00364134383145343944353331363146 -3941393531334645 -0 -46443943454532443943434137423443 -00423946314245413537463641453446 -3142314445334237 -0 -41313331433744454246424535383136 -00343244323643333144303030423746 -3930423746453438 -0 -36394142314231374438444236323134 -00323636444535364531454446323639 -3339414630374543 -0 -37324244344431384333414542363443 -00463232323842353135353143334432 -4337444533463530 -0 -30344142414538363736453331384234 -00394538434241383338363637423645 -4432423246434142 -0 -36414638324243334437414138303542 -00324137443135423330443244374444 -4237383635304343 -0 -35313644314238314535463730394332 -00324444394141353233423733373441 -3133323345453642 -0 -42433242354544323637323434433435 -00373930454441463143334641394230 -4131444243364441 -0 -31393336353742444530464530323931 -00373532303032423130364436333831 -4641443538413745 -0 -34394535423836443835354243464544 -00304638333739333136383931463832 -3842384432344130 -0 -42433637423435314243373042304535 -00363736354639444131414335344341 -4541463932353741 -0 -39434134433839453141364537373946 -00383137444431423730344136423431 -3841383346443441 -0 -37363345444344353936333234323343 -00443945394446313837343735393145 -3542333134433035 -0 -36343341363736304639463044443644 -00383346313444364630373344373834 -4442324237303031 -0 -32383646354343334144444636433141 -00443041353945323431444331454437 -3230323837383936 -0 -42464531424642384532364235424139 -00463645443335463437374230303232 -3938314535453146 -0 -45334233463544323732354139413538 -00323533323735424636413434423346 -4131303531434446 -0 -31323441434133433930313430364132 -00464435423034353235423331383246 -4344324233464441 -0 -43444643333946454534414343353532 -00353543443842393544343844313345 -3337394631434342 -0 -46343543414145443438443036424642 -00414130424445463537453633413146 -3831434241424139 -0 -46454235394130433831333034393038 -00443136383336303432424544353743 -4143383437363142 -0 -39374244304236423744333842373336 -00423738314534424244463233304432 -4539373733373442 -0 -33303445383735433944344233464232 -00323838323641304632373239424532 -3239303235364443 -0 -37414341393744414132343835313831 -00323541453344304344333133304436 -3131343938393531 -0 -36443242454143443646453230364632 -00423331433037443243364135424343 -3538374530343841 -0 -43344643414545433341333433374134 -00354337303834363142343146444235 -4144303837433544 -0 -33313736383141423046434246333143 -00453531423036354436453433333246 -3731423130394433 -0 -33414333444446364330334633453938 -00463143323342413436423446393833 -4146393231344431 -0 -42384246363437423933303638374543 -00344334443437373431413535373638 -3132424530423543 -0 -31453539363335454236434244324337 -00383144463736313931463943343638 -4331373336454546 -0 -34444331463838323439323446413037 -00423030303638433846464544454445 -4332383236443131 -0 -31463931394138334145393830453235 -00303536453235413544444241454345 -4239304131384335 -0 -36343236323543453443343239384146 -00463036444434383634323744464445 -4536463730384141 -0 -35343345444545414235343739433133 -00443741433438414138314230433536 -3038433244383031 -0 -36314546444632323131363035314531 -00324534453441454337313946314134 -3645333343314437 -0 -38453444463245373238453344414146 -00464137313443373246414530373536 -3833353532373734 -0 -39323936443335363733303237463545 -00344539423346333734383943304434 -4531324434303436 -0 -46323536324134363842363530413137 -00443730443534423636384137433030 -4639364343423233 -0 -45343945454135463337373939464534 -00393138363238354245313839334238 -3631453842324533 -0 -39383832383637353633433942433234 -00464337333846393945313430463845 -3331443142323145 -0 -46413941364246304538413039333542 -00333941323139353236364438374232 -3033343841424430 -0 -34333344363838303330323634363942 -00423832333334333738423134383133 -3241443835433833 -0 -41433445324439303235334632384539 -00344332444435463733384346304634 -3332314141414144 -0 -32303035384645343534464338453746 -00363542373446413630343041454535 -4132334346444431 -0 -38393231373930363446464544443343 -00424639414445344631314645453031 -4531363630344135 -0 -31373045343342323736463932443946 -00344244324345304434364438313231 -4134323244323832 -0 -30323833303132313146423245364531 -00304341314642423344443146353330 -4333463037413345 -0 -31304346374130413533443638303431 -00423232313533443932453043314543 -3336463338323539 -0 -46454138454539303042453342383931 -00323145333041363641414543463844 -4634433645433133 -0 -30333842433243453543443834384130 -00333045323842324337384134394433 -3737423535353535 -0 -43393230324331324642364233444533 -00324134433837334633393538454138 -3233334535464341 -0 -43364546334332343441434144443232 -00373838363230383533364133323546 -4337464534303033 -0 -42453938424241433337464439323433 -00384433413232363932344432343045 -4145454542303246 -0 -38444532463235334135393736454636 -00383036443135374633354133373945 -3632363144314430 -0 -30394336384243393044454337324533 -00343035434133324341334142314133 -4538354441413035 -0 -41373431393235353332433641363642 -00314437323841303030444241443041 -3743314638443838 -0 -44313238373833454339464546413631 -00343631313642383243374141303234 -3736363038423543 -0 -38464537413538313334323133373638 -00454331454535353542354436413934 -4242433234463030 -0 -34463637374346413735334334314345 -00423539354644304139324538334630 -3244374332304435 -0 -42323846333545453442313637344130 -00464433324333343942314342453146 -4538373534364643 -0 -42314532353833353446464544393633 -00424341414541383631444137433633 -4546323234303038 -0 -38413836344539453137303043354446 -00334532463435443337463738464633 -3044363636394241 -0 -37424233313832453539333230424634 -00443735433638443445334137453430 -3843364341464242 -0 -44444544394431334646344631363746 -00324531303231384537353837303345 -4245314336334143 -0 -31443332334231303738353432374341 -00433734434132383833344537464134 -4338313535323834 -0 -35464637374231324339344243413738 -00303832463336434237313139413541 -3739434134444142 -0 -33423930353138364131304446343737 -00393941334534413730344244453732 -4536393532413745 -0 -32393132443839313342324435353435 -00423231393933373043433236333039 -4539393341313934 -0 -35424141304546324636393444413744 -00313737343731333041364243304645 -3931303739393844 -0 -44444241383238443030454438443431 -00443831443846373930453239364645 -3139383238373437 -0 -36313845454330434634333837383234 -00323437393335414445433535304333 -4538443641353341 -0 -41354532443136343030304636464434 -00463943463646444543333832363338 -3742363637363236 -0 -35443742433446373543354342414136 -00304344374635454143343232363844 -4237463938354438 -0 -37433432374638444530363331433438 -00463839353036313735414641373730 -3446423033423738 -0 -35384636353445363236323836453134 -00453843354643394537393232314139 -4446433445343243 -0 -32363838353944423433333034354134 -00423638433132393045454532304137 -3839444444433430 -0 -32434137313442354345423441383430 -00383236384344303642453337323339 -3842324435463839 -0 -38304632393433433637374245423831 -00323441343734443336413835333137 -4642453046413542 -0 -41373639324541333134393646374235 -00323936354244343643353636413145 -4231303435333042 -0 -44314243383631423644343041423638 -00304239414437354537393342414535 -3135453433393734 -0 -32454543393434433346394438433837 -00464244393933424531463241363637 -3731334637354144 -0 -39433832393039373732463531464132 -00373938444630353133343838463641 -4434363738413342 -0 -44314546303831333643463444354632 -00454242443136423543324437353830 -3131324131423139 -0 -31373446433331453431364139463132 -00314638363839373942324137333334 -3431333539353830 -0 -32313042313144433539454339343742 -00334332373036423141304246394230 -3046464432314236 -0 diff --git a/4_SC1_KC1/test_cases/in_rec.txt b/4_SC1_KC1/test_cases/in_rec.txt deleted file mode 100644 index 70dc296..0000000 --- a/4_SC1_KC1/test_cases/in_rec.txt +++ /dev/null @@ -1,400 +0,0 @@ -74B7F3B773C71DEFE077DE12D6A35593 -E8AB6A4BC7F7A27FE48CDDEF5925B182 -4332343842383744 -2 -CEC37FF48B084D7A25036D3724FD2089 -43FFAC0BA5DF874C11E9560061053142 -4532343131444337 -2 -5574E49C2E6D93BAF15B4569BE977B13 -93180475E68AD55D18AA7BF8E6B8EA16 -3241453342414141 -2 -56A221D0E0A8F642FF8F75714A3D9709 -3AED633DA2927D025E2F2663A110A077 -4142423038373444 -2 -58C352B3934CC67667AE08C2DF0A7C62 -A5454BB51CCEC74646B6775F7DC63BB3 -3344333038373543 -2 -E3F3D21393E10DA37C3BF721646C4D09 -0878E81465172344774EE2B644FC1C86 -4346453741424335 -2 -B4B239FF54607D7F69F10A80340398EC -DC89FA82E490D51D215C5950C8582309 -3830343241393438 -2 -626A0A02E00215F1462A5D3C81B89549 -A7375336EC761CB6290A17E352C2D3B9 -4532434341343036 -2 -819150CCB755E557EB2AC06AD05B9322 -58D480F0346A39AA8930E89F755894ED -3745303230383635 -2 -E483C73D6CEB4D09DF44B87C74A4EE6B -8BF1ACBFFF44DA435E0ABB8E5FBF0B21 -3237344542433935 -2 -EF32E738F03579FAF25B2F96F6B09F00 -06507CB5256417903326DDEE92F16E5E -4632303934454335 -2 -7356721DB8341A279858D35150D70586 -D2A3DB41BC948E2913F21EAF8B15F706 -4632433334394641 -2 -1E67441467058A582BD8439E619E3A39 -F5F8D6A7E3FB63B0869D947BA49EA8F5 -3539323835413844 -2 -EB9A77B1974F1FDF6F9AB74BA9108C09 -F23F324CE5C922C7706D16DD344964A2 -4238463139333045 -2 -B700A9C6A828B2403B568FC1315523F3 -143351BCC8B9140DA8D251E32986C7B9 -3941393531334645 -2 -7740B6D71B1893753A5ABE68C98BC518 -04B0C3D5EB79E22791BC1FF8070D47B2 -3142314445334237 -2 -424B64264005671549F540914765D883 -80928942B3D9AF0F4A93912A6992F51C -3930423746453438 -2 -8F857180D147A5103C1E0CB29DC4222F -A87407DEF277FF5BD79ACB16C9D164CD -3339414630374543 -2 -6121E926BFA1B000B2F6714FDD0D1AA9 -806329147731A73FA471172F03567D5A -4337444533463530 -2 -9BF9CF0B03CA6F277052FA5C9FE639E0 -4A2324C41E0794D61C2B494CB02E6527 -4432423246434142 -2 -4846106CC28CE4B123886F31753D2F82 -CB1955B3194F52C4AFD1F17EEC5E3689 -4237383635304343 -2 -65DE19311C0EE313F596A1C7B3ECAB51 -847B1AF16F7D23FA68FF1C615F167C09 -3133323345453642 -2 -CF375042B6381AA02035ED3E64D63F3D -FACF12B653A378D4D56A1F289546479B -4131444243364441 -2 -DD019ADB88C17F7B3257E9968A4B4DA6 -BF2F04A9C22305433849670F532F26F3 -4641443538413745 -2 -01257C6D76FC62891C1246555FDA89BB -54FF4F0052FE5EDB3EE104D73B3FFB1C -3842384432344130 -2 -04A930485F01F037D06F75F6EF63ECF9 -1555534BFDC64041037E684AE010CDD5 -4541463932353741 -2 -6818360E391D5D31AFB1C737A18D7FF7 -B4137C95FA1C012B6E227D7DF1096D2C -3841383346443441 -2 -050F9E818D4CADFA249074E4CA9C8C8E -8A0BF6438538D7901E1D5FF7441FCE5B -3542333134433035 -2 -A18BEB048D2A1754D15C3591739D70FB -909D29FCD72AEB0C4A88CFB898C14DC2 -4442324237303031 -2 -635E441878E5B8812DF7992BC828A561 -205FA344ADEA417C8B3EAD823CB900E0 -3230323837383936 -2 -AE80E88BB425862FB9CE8529F8806E21 -42231D7964BB28305757425542257328 -3938314535453146 -2 -CAD04D10CAE1E7C140B865051F83CBE8 -D97B8A8E3EFC4EB6413DF355C053E725 -4131303531434446 -2 -69525430E1D2CEE7CEC26905E875EFBA -0DF57491BFD3205BDF764DB4837B140E -4344324233464441 -2 -3FA9A1851DD6972AFB50AD5A4D60C394 -A2166589A2EFBCDB5449AEB2D0F07DE1 -3337394631434342 -2 -E9EA035E370E04CBE12E89EC9BAC464A -F2829C5EC500CC05F92B63AF77946E04 -3831434241424139 -2 -BE7838EC1A327AA1D8CF4CED8B86C559 -4ECCA2093B34C450A98418448D79215D -4143383437363142 -2 -5B71522AE649979686288E3BA43CB726 -6C2D3BE02AC1A4B951D44C04F1C8240A -4539373733373442 -2 -10532FA4E7275468116E3007763E1D05 -719028DA5D4F17E36CDD32D919B70C5A -3239303235364443 -2 -C0B2FF02B8DE066121734656BC418CF4 -9B604AC659C32066B3F1449F9EA02667 -3131343938393531 -2 -E1B3B965D389A508DCD205AD1EABFA08 -D450B72A24E8971FE006CB9776A48C27 -3538374530343841 -2 -FC268D03CC013D8BE3E7514EBA52454F -78E597C70568ACE8B2B0678DEA2380A9 -4144303837433544 -2 -81473B87C8CC9266A6DC28DED39751D8 -2B6CEDB605C4202DF8FB932500F4F5CE -3731423130394433 -2 -E04072A7F6928B1EC48A6F03093C9756 -EB50DD062993FF528A07F6EAC2DA2288 -4146393231344431 -2 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -49A95DCCE591F7B6B365DF156E64CD64 -3132424530423543 -2 -59B4348C5B44595F84EA933DB0319841 -CD0E8646DC7ABE7932D1A2DE300783CF -4331373336454546 -2 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -97737C253BCAB0A8E2F6E54F4A6A210F -4332383236443131 -2 -9F85DEE42303A2C0E20A04845DDA1E77 -FDA691B5E227EBDB6CDCF78D0BD898DB -4239304131384335 -2 -577B99301E66233A79C742D8BA46D516 -3F4F44F1EA1DB2F85360CB8BD02B08F3 -4536463730384141 -2 -B637940504D7D3ECC7A0E2531BC68C30 -41393A5E4D0C28F9AA44EFFFDCE8FB18 -3038433244383031 -2 -F77FB55266BC98A50BF4870006392D8C -5C522EB0BBF9C350C41D3A839A668366 -3645333343314437 -2 -F7D03A37117A3B2A7878CE0F425E4D32 -9A813101FA8AA04D332E1518A5FEA593 -3833353532373734 -2 -67A36F4686876575A8A75748DFE2F2B1 -AE30BDB35F6D8667CF75112432CBB2B5 -4531324434303436 -2 -284A056F607D4C1145E881A8BDA3DDBB -14A8D81411D39D815FB9A63F3359FF7B -4639364343423233 -2 -70F6C84CE8C382C03C0630B12924335C -CD12201E26B08B8DD3C627165FFB5E2C -3631453842324533 -2 -10FCCA0F5BE22BC6354A9E005CF4DC03 -8FFBCDC992541CDA91946262B9168B67 -3331443142323145 -2 -A0CDA8870E163704906ABD1D910041E6 -661C31AFCE1B2490BFF314FFBF68B74C -3033343841424430 -2 -FAA8948F8061630A58363079ECC9B2FB -321E07F26CF326C263BAC5373996F345 -3241443835433833 -2 -B7B248BFC3ECF06132869DB2599730E4 -7D8212745BDE2CC731123987C06F9844 -3332314141414144 -2 -967DCCB7D1348E71E00ED10F6D075F82 -C4D705DFE31F91FA19046DD6A9E1054C -4132334346444431 -2 -89ADCE4814674F2ADBFC9B64CDCED57E -5AB6E0C568218BCE4890C12EE2161B80 -4531363630344135 -2 -612477F0E91CDF6F10461BD0C5689622 -F8A24C88FD47217590AEBDB5819CB02A -4134323244323832 -2 -36D4A7380437C957153533AC211177A0 -1D4303FD574854E27863A793783D2E33 -4333463037413345 -2 -EBDE1A8949480A91E59742570BE03005 -E2022DB050184D1D11E47EAD1446C36B -3336463338323539 -2 -67DA408078B78AFF66A1ABF4E682DD63 -8CA941F4B96D6F96D3397950BDF4FD5D -4634433645433133 -2 -D9D4FCA7E35BDB0B532E2CEB135AF536 -4CEE8CD3097B212404B923CF98F5FF65 -3737423535353535 -2 -783D965F7DBBF859FB67E16535AC7DBD -7ECC18259D22F871F236FDAB821EFA97 -3233334535464341 -2 -B419FF8713A4361F19F01A473FCAA2B7 -443DFD0AFFFCDEB9B985C444C30B036C -4337464534303033 -2 -A1E91A4B0B12398704F2FC317CCCB456 -75BB0D9EDC0347F153AC1A3B18265A85 -4145454542303246 -2 -0E49ADF21CB47EAD92F5258A1FE67448 -930280AE8FED9CF9044B117BBAFD24D8 -3632363144314430 -2 -43CFF95811FC29B281A25AF605F2D583 -6BE77F0E6F72B89B447D442E0615C8F4 -4538354441413035 -2 -17F5DD00A5ABB282B16D5F708E4752B0 -FCCAC824E226FF76E94ADB856725238B -3743314638443838 -2 -CA0F7E24288C27AEDA326073B525CEF0 -0B526768F18CC6BEA1DEE4D209768D65 -3736363038423543 -2 -046FC4AA9E7A11FEE74E552661EDFA6D -E22C3B009312AA0B6AC504B920F69FCC -4242433234463030 -2 -158D7BD55C81353E2484BD7B311A1D5B -17563FDC3437F8AE0E77C73FB190CA50 -3244374332304435 -2 -A0522FB24582F4455D0F1A74A5F05D80 -4C78134351B83A43FDE58926487A5B6D -4538373534364643 -2 -8AC26BBE0332914E0FDBC6676CB7D37E -E4A5BDC15B1722979A584017A46C3472 -4546323234303038 -2 -5358BD3E6E224E4770A8D40975DB1B70 -4822819356928E698BB10A09AE40D632 -3044363636394241 -2 -DCB2CFD85A08BF3309A67F712AC23E7D -CA453B37661B56C7781E8298862A8E49 -3843364341464242 -2 -ABDAEAF154E8BF56928E02ACA67517AE -99D8F11A269BAA91B22E3DD75B2BFE9A -4245314336334143 -2 -4E01C49EC33635867FD4502D068211D8 -D08F24382B1A6EB77EBBE92F766D2642 -4338313535323834 -2 -E6F56B406E3F2AD7D7EE1C8C83433AC3 -85828CF74F1E4FC159341624A7447588 -3739434134444142 -2 -0899C97A1868352505E1A79EF91FA922 -18FA6C7315817A61C609CB71E8739632 -4536393532413745 -2 -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -C11A5B77366B63A1805AEBE0FD1FC71A -4539393341313934 -2 -BF9284488756CF5C1C20A0D56A354D51 -A18E6496959FFF5002AF3555E83B65B1 -3931303739393844 -2 -2377F78402F5D3080BA44B7268647A08 -CBBC1283D0D6F58AFA52DD5A4DC26C63 -3139383238373437 -2 -006FF77C82BAAA56CF79EB74FC89AFC6 -49F7894D7C34196F6382A6D57EC8297B -4538443641353341 -2 -00ABF6BBC1BC84F34C70C954B8991C75 -8E5A66E4D28344905F0B60B99F56F831 -3742363637363236 -2 -35401817EC8E97EB7C9D1B1694B36D1D -195475683406FADDFFD6EC21A8CFF8D2 -4237463938354438 -2 -3F341A0BCDC2470F597722F56E4D63A3 -F8275BC2997040459C83B10DECECD9E4 -3446423033423738 -2 -B591BA749679BEFDF89933BC0055B8EB -28028B6EEC984A6F5D6AD0824A04211A -4446433445343243 -2 -AC8D24856CC20CA65DF2E724D706EFFA -2778FB3ABEA696ADD38D97063E980C5B -3839444444433430 -2 -A920914E8C6B5D71FB9966DFF47A4521 -B34A9F13E3B59755CFC91ECC6C230B98 -3842324435463839 -2 -2FDC00F6EDDFFC46CE90182E256831CA -B1086871CD1ADAAAF4F5B87C15B511EB -4642453046413542 -2 -3E11F690B138B27D0C9378A0B58EDF64 -3BB92D7AD568BD0D87997441F7C9FC42 -4231303435333042 -2 -9974637B0B2B3ADABDAD4C3AC7C04B41 -8CFCD1B566266D5EB4B1B811624F16F2 -3135453433393734 -2 -081680A1E34562D71BE30FB608207166 -99825C1E7807523BB68972E4289418A7 -3731334637354144 -2 -CD59D9B1E06C499CAD7167F33D3AA2A6 -D533E8E45A1617EFA396239B9C80B3CB -4434363738413342 -2 -80DEA01EBBE9DB5E32C9F65D63D2E849 -75B166321C29942CD3DCA2772F7ED699 -3131324131423139 -2 -E12A5793592ABB5421C08C51C9664632 -97836A0B75FC57D9690AD14B7B530EB6 -3431333539353830 -2 -D2BA67B083C21C7827CF5D3C5495A59C -885EE7764E9C7FE7E1060CA66118D14E -3046464432314236 -2 diff --git a/4_SC1_KC1/test_cases/out_avg.txt b/4_SC1_KC1/test_cases/out_avg.txt deleted file mode 100644 index 6fcece2..0000000 --- a/4_SC1_KC1/test_cases/out_avg.txt +++ /dev/null @@ -1,1336 +0,0 @@ -776FD514CFE2899A065CCDA54C44E565 -7B30EE7CBC93B0B15ECF2A742A389BA3 -AD40A896B1C7EAA052B1A70BD645DB66 -7B30EE7CBC93B0B15ECF2A742A389BA3 -74B7F3B773C71DEFE077DE12D6A35593 -B251A559D0117610D34D417748C682C4 -30394531313143374531453741434236 -45A776E8972910CCC00E50ADC1A1A188 -56A221D0E0A8F642FF8F75714A3D9709 -4361B4FF5F3C1FF0AFB33E06AD9A40EC -42463239414244354231414346333839 -C964665977906317E5E467660D08F75D -B4B239FF54607D7F69F10A80340398EC -1E8D9EE8D96E6B559F983BDFD9A9DE19 -30333543423237343443423930413633 -B6B0CBA515C582C7825D3E6DBB84973D -E483C73D6CEB4D09DF44B87C74A4EE6B -2588E72AE70898DEE4409733F89CA04F -39453739333144433635304337343531 -131EAB7663B6893DA8326DE5612B0B6D -1E67441467058A582BD8439E619E3A39 -CADB8AA9A89480ED37A44A56B443CF1B -45333841433741394432333943394230 -BEA843940F357EDE34B0033892B2A299 -7740B6D71B1893753A5ABE68C98BC518 -8078AC399917ED9D16238310D78C1C46 -41313331433744454246424535383136 -A18937D9865106AD4C24A94DA1321A8D -6121E926BFA1B000B2F6714FDD0D1AA9 -F590325BCE52AAA395F10A26E352FCA6 -30344142414538363736453331384234 -BE7DE1F366A9B674A7D43413F799478F -65DE19311C0EE313F596A1C7B3ECAB51 -E1BBBAAE0D7ABD7A0B0C9E8E9E566AC4 -42433242354544323637323434433435 -E00648FB80058EC86D849802CD3A6661 -01257C6D76FC62891C1246555FDA89BB -0161FA63BE191D740C8A42F819050AA2 -42433637423435314243373042304535 -1B6FDABA78AB6F21E84D5FD3CDDBC529 -050F9E818D4CADFA249074E4CA9C8C8E -466230E1C17379830EDA6EA5D6B5BBBA -36343341363736304639463044443644 -5D10D91C59568598D10F5AD6B9F4F198 -AE80E88BB425862FB9CE8529F8806E21 -39C6E6E9075154632599B5237D12E9CE -45334233463544323732354139413538 -C354E77544728AEAAD3EA08F5FDFA5A5 -3FA9A1851DD6972AFB50AD5A4D60C394 -5C976EE8230833DCE51912E74626BCFE -46343543414145443438443036424642 -89156EBF7CFF1D157706C99C25C81CFE -5B71522AE649979686288E3BA43CB726 -4FD26C8CE597783D99ABC1F00C0E8535 -33303445383735433944344233464232 -0A943773AAFECC523A3B0929CF9AE143 -E1B3B965D389A508DCD205AD1EABFA08 -BA83BBB81D44F1EB97A70DE3D0FCBECA -43344643414545433341333433374134 -CF6132CF7618534D3B13AA2AF32AD7E9 -E04072A7F6928B1EC48A6F03093C9756 -556A990982F8D8F1C0C27BB33F563412 -42384246363437423933303638374543 -5BD4C775EC3E2029B1BC99DC9F526AA0 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -CF6C30410A6EAB22D737E3CF22785800 -31463931394138334145393830453235 -6477E23D383B2017AC8E9AFACBD16870 -B637940504D7D3ECC7A0E2531BC68C30 -33A44FF148549BD15F946726D885125C -36314546444632323131363035314531 -E8626997343F57F001EF7E6F4D20E6FA -67A36F4686876575A8A75748DFE2F2B1 -F4DFA2B41DE5ADA2D859171725A76A8F -46323536324134363842363530413137 -B73E4B831FAB1E3AFD1A20F15F538504 -10FCCA0F5BE22BC6354A9E005CF4DC03 -E6E5479C74C74CB8032428CD7860B8DE -46413941364246304538413039333542 -2EA9D091B791BDFB8E618C0E845BF60D -B7B248BFC3ECF06132869DB2599730E4 -007403A85E9FDB8F023FDB3646A7F828 -32303035384645343534464338453746 -7211F2F76184B74D5913DF530B387C6D -612477F0E91CDF6F10461BD0C5689622 -A852B092F6297D3BEEB662602B511595 -30323833303132313146423245364531 -96A23158F3CC8432EE91DC7F05CC3367 -67DA408078B78AFF66A1ABF4E682DD63 -9D330A50F04A40EF14BC810DAF1C036F -30333842433243453543443834384130 -2546A8D7522971D20C62A99C0156F304 -B419FF8713A4361F19F01A473FCAA2B7 -4B0A6462696998084B27A37BBFC46745 -42453938424241433337464439323433 -600C7C23FCBC4360800912B21DBB39B2 -43CFF95811FC29B281A25AF605F2D583 -CF6B50656729E69DD3333D9B6C35B111 -41373431393235353332433641363642 -77E284F2A607A8C74E6A64A15F0AFB97 -046FC4AA9E7A11FEE74E552661EDFA6D -25174008D453F7DDBF5EE50B09ADA224 -34463637374346413735334334314345 -C614A33A55E903563AECCA11D4A503C1 -8AC26BBE0332914E0FDBC6676CB7D37E -C3420EE25DED5188D5C722AD8CFFE902 -38413836344539453137303043354446 -41773CAE5CB62F59946123A15561325D -ABDAEAF154E8BF56928E02ACA67517AE -65501B1CA568FAE20ABE212052DEFFB0 -31443332334231303738353432374341 -46A1710FA77541E348C8BDAC2574BF20 -0899C97A1868352505E1A79EF91FA922 -801F60AB8A7EC1DD078AA83F34C17B3C -32393132443839313342324435353435 -A62A14E491FD529742A92BAE09259C05 -2377F78402F5D3080BA44B7268647A08 -1FCCD383CBC9350FA95EF56FE7B8F6A6 -36313845454330434634333837383234 -CB78A9C3CF989064D53DABE3EC66A302 -35401817EC8E97EB7C9D1B1694B36D1D -4202CBA8765B32B9D0B7D8F8B313A732 -37433432374638444530363331433438 -9EFCA418C029D45A69F85CF2A53EBBE2 -AC8D24856CC20CA65DF2E724D706EFFA -BCA16B26A399D4E7565C448F19DCD4AD -32434137313442354345423441383430 -DDFDEC9D1C2D151A95CAA84750FF5CE3 -3E11F690B138B27D0C9378A0B58EDF64 -190F9597712730EFC079999989CE3370 -44314243383631423644343041423638 -6368DD9930D6A8174023C2119FD7D419 -CD59D9B1E06C499CAD7167F33D3AA2A6 -02AF2FBEA4B58FF8C6E79C19BB14A47D -44314546303831333643463444354632 -2604BCBD99D8DF10BC966395B554E509 -D2BA67B083C21C7827CF5D3C5495A59C -1DC6A4F5077B36B786AE988DA315904C -32364142413236394143364244344344 -4BB1202B3A610F758F7E53C94F3D1605 -FF401167883B0717E2FFB2B733F35D0F -5275FD03AF52249C63209239B010E782 -34393933434442353330443631424237 -139C963DE6BCB843AC3DF3AC47879881 -C6D58E628D23109265651ACC2415EDA2 -A30921873A80EB138B9743BD59755F12 -32353634464346334631443944383343 -74AE4CE5C38F716D8AD4ADDA5A8FA3CE -1810DDF2D772862E9C415812A9941798 -3C133B9D2283DB115987DDAD372E5F1D -30303332363644303742413734323641 -5232957FF6148B1FC2D2A2ED1A5C1AB4 -3239D229532763E1D7972A6DA2AF9463 -A17FAFA9D0D0C3B107ED81731C968CD8 -46393139363141424138434531354139 -66AF88FCF4AD02549785B43A3B799C6F -C7181256B45CFA0CB0796D9645EB1619 -B5C369DF8CC37965E4E0C6CE6AEAFF18 -36353446313733373036303033433537 -70101757A4A2DBE9BCF85067629B68F7 -09C0360BED95A7C44B1A7FC406C860DB -9DDF0EB9FAD46C90DD0453310A2A239A -35444632374530314435343133443030 -6817D4EE61AC4037B1F0A0F7C24E32ED -0A60DE99B078EB9148E6DC19BFD79E4E -680C4DED9881AD69CA0BC8A0987863B0 -46453939394146374532424230314441 -539AC150D488200A2CFDB3E8FCBF1F7E -9B0219B32F93A97859C9670EED45B89C -A020706CB0E05245B2ACBA8A6F94EF2E -31423841343239414634463132353734 -2AF5FD3F5E4F35352B90CEEEF8CA4D3C -71872DE9510495BD73B805D815883C1B -B0EA853551433BF8423D0E2C171856C3 -37373444303237344135313133414130 -A69059E326A32663B686250055479A8C -7742321A85FE96D8DFEFDC2E30CA2C60 -29F7282F525F024599BA5FB912ACBC89 -31433644303530383536413937463742 -D0A7BC9F4E6BE91ED4BEE50465027F5A -5EEADE7E22A5492CE010B9A185726CF5 -6A60D904CA566A63B2DD1EBB794AF8EE -41373239373133413037303243433530 -3CFF004C8E0F5E90D612284995D2D236 -5D71AF803FA0A9EC15EF138E21EC96F8 -7D6669E5CBEE4408D42BD7D3EC84BF82 -38444342444243303745373037423445 -E86C86025CBF1EBCA24CBF6C0DE384D1 -815F6C4A1B7D3E2FD5B18809451AD6EA -397F58045C3F392044028E2A27C547CC -38413530384343313137323433354434 -39F21E6486B7D2152EC9D079B92C4AC4 -74A1706D68752F0522E9E3798FE8F901 -E1719AC4D04108959AB76644606E471F -38424337394334333745454632363735 -3DB1B3B9C20949FEF371E4D8DDF3E8B4 -C9FFE4FE2CB1D5DFBABE2AB3DA5B4E8C -12A8E99BED327C9256B4BE1CE3B64B1B -45313234413135323533354537444542 -357FF56A97987E9E5BADEFB62854C54F -EAE203A743817EEF0068D59829DE3A2F -449B70EF342B53E44A46A0FBC20288B7 -37453935354633344346353045343233 -2A704221622E6267735BA0DC336791B8 -0F83C95DB0EEBE76C7871DAF0E63EC66 -0D227740552DD4C1DE29BC86E0CECF7B -46384242343446383937443938463839 -C8DFF1FFF3063A3E8659BC039CBBE726 -4C47DB95CD975764C784983FA8FF3DA6 -BC199D722924A3A9B155C38D16D05F67 -30303142383542343535324136364133 -B36135196B385C75B7EC1ED81D5F2B57 -BF23F1173DBD7AEDF17B8C2C9382B669 -F980124676849533FD56937BA08D97FC -44374138353839313332334142414134 -E0CF3E5541DC6CA4351341BCC6FC60B2 -EB2C1EC2B950F6CCB930696CCF9C940D -6D86B47B8D579E97ABE366A426E9D72E -36413533413546353936383234463033 -ED15D998F79F660DB478F1481B4DB5F9 -6F870DDF9CC846375D3914CC8E918F30 -E9A5D31F680D70A5EA25F050AB450303 -36343835383035363244384341453744 -05BE5313ACECCF0AFC2410064EA69633 -2C1C190697C02DC76E0F9B8EFE553DA5 -2807A7205DEC36430117DDCF93FF4FBE -46384138333631454543453444364634 -FD639F3F963ED8151ACAA910AC03F84E -40154AFE552314FBD2DAB81C71275FC8 -01BED08093454618471DAA147D8AA372 -34314346453233304544344237343430 -C9F29C23634A9D8DFB50C3F566258F82 -2D82AA3EFE7D1A034AE0D30E0592C4F2 -793D8E5D61A32BF2FA4C6567443BC0E9 -34333531433335323137383041443430 -0576A04DBAE7EEBA293E69D5A8FF0BCE -97BB188E8AAA2C426BDAC97F619215B8 -F91D52FC6406C5D13EA264D29D79C7BF -33323144433830394346303442454637 -16F77A125F7332D916F685BA1497D575 -26566F753D400D70F4B6CC2FF25DF25E -110ACF34F7FB90001CDB4F61F92859DC -44434130303639363243363643383845 -7B14FBF987B7F851340B01F1F164E0CE -5A318BA94E5A8A589C11DF27C054FC08 -085B5382DC124D0D48B8C8B1716DDCD2 -34413635324442373045423343423238 -712ED4613869C69A799751EE4AD551BA -0E6A91788A116CCDF016E20023A76954 -BF7C3533430F3D14A536926D4D137E63 -32413137353839354646314132323745 -D0BBAB7E4A792B8CA597D6EDE69BC7E9 -E7BFF3853EE545F595877C7E7DF98FBC -19A5540E8DAA400335D54D2BBB4C772C -45443337443339383935364541434534 -E25C23D9AA43C621ECC917A3AAA174A5 -5B73DD628624ED8B2641FA3D914B64C7 -AFD0A0225C29CB0E3C0E27718FE6AF85 -45433145304530443045464643354142 -3F5D16DB93DA0C801945E8427407E485 -A7558BC0B488A1B1292881AFBDA99C0B -7091A37EDEE923C4D2FC13CBB56A2450 -42363934334336373543344432374432 -C85D2A0C811846E0323A9DA4A8C2077B -4611C2EAEABBC8BA9CA5998D278AA0A6 -485B6519A4E01B867719D1715F465F85 -30364132333643374538413634303344 -9E825DD20945D32DC4474922AA8D17E6 -97B9629ED1BF722C7531236F1ACD5FC6 -B05A691CCC61EFCB2A724A3DA38AD3F4 -41334545374143313034393635453034 -203E238D42A869DE131059C27547C2C1 -C0D64F21C720224999DCE7771C3F2E73 -4D3C91EC13D6CECC83468B56918B335A -36374632373730344539333034414646 -0B778BF97D37A4193244125EF588DF56 -8AF0608470D0464FBED5C44E5A429E24 -D63CD1FF4EBF4E1F4622EDD335E6494C -46454142364633444532454530464238 -71392DC35A2994B95C042C0ED001BE56 -2BE60F7B535E01488F2B0CCC5B9A5077 -410BAE89E8A0BEBBE779A0DCA6926DC0 -37343744463430323845413435354245 -73353C8EC0F18D01D64007B5F0C7A23F -06E056A6FFB7338458921607FE42A97E -69F7FE7D99700C86280756E5FA4CDB97 -39463233464238444633464137444643 -7EF68BFF4D9EFF988A4AD51E34832E42 -C485123452B29E63E77E68CCAE285ACA -AF7B02A00B864AF53C68F1B13DDD173A -42394230443241414535374242463433 -5F002E97952F304B8CD16C73D7AF0A1D -4A3401CEFE069EC8175DA6736E8CA6B5 -C93F87124EBBA94661E07E2093A66B20 -30393538323138393635303133424146 -6A696FB21D0A9EFC86E450D59D746B1E -7B625955281D4996656B5F1E0D394490 -8BB76E26EC7FCE025A26EDDD66F9A5B9 -43373939353645353530353142433545 -51A6272E2DC43D7E44E21032AE3BA096 -BEAAEE34EBE4469E99C101D928CD38B7 -9A0AC4818FFFCD41F6568A80E762717A -30384437374534303431464443464238 -D49D8F7206A0E2E72EA24B5FD054E0FD -EAE00FB7BE39395311216EBF2FCE0007 -73D0E6A7627CC31344BE9C6702C439C2 -41344641443638433234463731343731 -92915B57C4EB5D1ADCE5A3C2D668CCB9 -BAEDF52A40F6845E431FE4C01C9B3AA0 -5DAB7CBDEB2CD512312F0665E49A77FD -41364231353546434238374531374437 -CFE8682F96229252919C977C526E4CC7 -7C7BFC8E32D83AE98A0268C5ED58920C -460CD10E8496604182E059B292F7C558 -44443235444632394245443945433737 -C2C3517A652093026F015048073C0CC0 -4D072C61314D06600367064438846817 -F70815D2E0C7A8961771B23FF4DC02EA -30424630423532353639464435443143 -07C2A0B9AFF5E9C2C38E0FAB417734FE -6AFEFF9A766308AC6BA1EC855E0F9F1E -3A78D7A00963946BDEB984E36B68FC2E -44324244394530363442424136374141 -23F5C4A6228DD3A2CC47F091D8E157E4 -E7551C78F079F0EB27C58A7D40F46A47 -E01CAA3E4A57F0C34B21FF1E912AEE21 -46323137393842413331434133334435 -3A2A1A95401DA046DB6E30DD5FA66760 -EA0DF62384BC291104B2322FADFBE872 -B6D17BCCD4F0C1917EB8D24929569A8F -35303046383244463042363741314534 -D65F3B7F2C870B524BD87B45FBCC46AE -F459694564F80D16D3BC8BD7A7BBA656 -6011C9B6682C9D57557B7F1B6313F1B4 -43373844353444453545453246433538 -B5B2C01D32D57F88B0ED12156AED628D -037EA48436BD9E2881230A7C222F31C9 -D805B8A93081777B3D166FC64681E9D7 -43393231444437463734323832413530 -72AE8DA949AD30E2340E179BBB715E4D -309185AF3AC14FF8C2342EFCD5708F69 -689AE33F018A27E841C1ED624E07B921 -45413636323543463343414539463545 -666A7F40E840F5221530AB9AE4A37C62 -A220478C11CA992F37723586C7B8E434 -6A023B39D45E9D915B64C37C0A54C338 -32333437334333333236393132383236 -4B994E32273D3C9DE8F78E4107AD1CB2 -9DE9F7D843C4B1961B43746990A1656B -D2EE8545D277CBC487117379342429EC -42383537383134324542444237413335 -BC9E6B9C429989055F2A31325EAB21D2 -43D04F0DE8514E13401C429B134EEF1F -8EAD57DF88202DAB3062F243BC12C0C8 -30343035394131393942384437343036 -18F37BFE591F7CFC902B19F1BC60848E -88D6FFC41DF6877FD035CE9798F6C713 -E22B8E1E39AF19148C23ECB975BCAFAC -44463533444234464532384137413242 -7E721DBB8865C9DEC801B394D7BC6880 -D5C020859D866D8270E06409E6BF1068 -F9CDF0C1DDD39186D46C94B7EEF0BF25 -35393739354143364339413342304434 -4BCA89F458BF620C564B85821B344143 -82C785D0882F3219833A6A6296B526AB -82FA0E141FCC9B4DF94BA8FB42E5FD03 -37414444394130363939324244383934 -AF8478ECF28971653687518699A63E3D -D2E70B534D2C41A40EEC37F81F343B63 -023F10275C170286B32AABB8BA562772 -39423546344543343937314134413946 -6E30D559C683155043D30B47B7EDD32B -40508D2DC37B531DDB5C263A3DB208C0 -9BD1CD8675388A21E2F839B0730F3EC1 -37384443424239454332463730353041 -912AC787935B716603A6360F452AFC3F -C55736FB12EAF3AD945A70ED8169C0DE -75CB2045940E88BEC5770C6298412064 -45364238354134383544363339354536 -028A52B43C7FFA67E66DAA5AA1D2E45F -933E69D3A8D44E9E36AA4F71EAEC22B8 -0980BEA6D440D2D29968BEB5613D7F82 -45303436324233383139423432323944 -2FFA6212B9F19BAB5EF59B9914F221CD -41334B8D5022CAA2EC7DA7CF6A5452C9 -84F7CD382AAD26527DD648DF4152AD42 -30384546343332324246433345343343 -6E9804CB1E041706DCB73725519C84F9 -AB82A021755224BAF4E0E49C0800AECD -4306DE17D6F991FFBB394910C501107A -46353332323337353338444639373132 -EA8EB1147A89095C55B48C27EB12D0F6 -8ED54E77B921DA201A5CD2BBB55A602C -30F1C7848481314F87E59F315A1C3EF8 -32303643444544413843344431313236 -06E0E23FF99A44405D4DF0737A2194E5 -D6DE9446616BF1520D8E78D5B99CA2DA -F6BF42A22DCDB78DA77825AFF5BA46F7 -36354433453630443930343630353043 -9C575500E4773EAFEF8CA93007281585 -E02887C2FFD7CD659308536C4558B36E -90B6B522F358556AF90C29655FB124A7 -31454532383538343830434534373132 -F3A0E9E1654FE38AF834C7921EC2CB2F -AD56E278C6E5F0F3F4C79B86310F7C6B -00638F22718397DFF2FB65808AAA50EF -39313444354237433735393644453135 -CA60E7854F323F4B9F0ABE5A0A7F7545 -9440C1F80942FF664472B9C7D3A59280 -E6027CECA083C8D93D28EC616F45769B -39423636344336464137374432464132 -45E1F3196A47BD1388246DE034800F2C -7155582B2403CB5E78678794E55903A9 -978FD5AA983DD440EDCA189CA484D4AC -37464243423236323543304243383633 -342715918F77311612761C547C7E2D73 -EF61C646341155E8B0406CA284C23ADE -F7E0FB596A447035C9DC48B0023E539E -37463830314542333542443636344231 -9E46757150E2C269D8F5014608D04303 -3A286FF52A297BE0786C1D95B9349C99 -CD4A3CACFBC96AE169315F59ED442A9D -31343643453833433546313731423445 -347F6C42F6CAA26C7C4346EBE752FEAA -BCBF3E08FFE788FAD5F747738C7AE300 -49399CAE9D2B17640BA709848672FC2F -39424639414235343538333734464431 -762D82AFA95452EF30FEFE3A9C9CCB65 -4BC7956C560DCF42E61007B0752634D3 -CB9533AB3C32C0EA5264D98D42D4387D -37363844423142373441314439374244 -E5A2F015B92493AC68A6BE58E56C34D2 -6892D34652691C57398768652069D472 -389AA12F92C20544A80DEC5FDC43F9CA -39323344414242303239453133413546 -4550B8A71A72CC4CA7C46CF14AB708CE -736399DC1D5FAB476434522BBEC9A615 -FFDCBE529405C5E7A1FFDB13D086D1CA -32313933423335384545313336383837 -5E7B3999151311AF33DCCEA97317ACF8 -30900F40E8BB82FF3E6C69B2F09DAB66 -E318EEE15BF4B728ECACE330927302D1 -39413434384337463036393034444335 -46FAE984801DDA52C2B77F46B1BC2BA6 -C7B4A7BE78F585BB96A6CF06D1D564CC -8D168367D62CEA40B9C29E4F5A9F3DAC -31454441384534303431383937413142 -C39603E45790A24AD00B17995EFF450A -B7BC37135C35D2B9EB2A8ECD5307577F -AEE8B3C92C2FF7DFC8CF354EEAA6DBAF -32433746333641443344363135423837 -DFBF8C33E86F94DEA21BB689EB59C524 -CB9781BAD88A5BC95137083F0E16248C -5E709354508A8DC2D4F08CC2B26A6865 -44303144303643453942413932333936 -F78F7A41D261D7044FDA051B8276929E -E1BF1349AFC83C612BA18DB73824A841 -8A2C70C34F3FE3356A61EF49CB076A7C -30303035303135343831394334394531 -351F52D89179DF6BD9A882998E66222B -F6A4FFC8F317361FB925E9F12F293B8C -6839B12F724E38968D28E6616B450273 -31303443363846393537374437304446 -4CEF713A5A6ABDB3D2E49EA6C7B09B07 -A0C45151D1F8085617977024FFC644A3 -B906304DE64DC3ADE3B575B1629C9662 -32383441333533303433444231334235 -0F8ED3A857E69F5975097232F622B3DD -2BC1D11F601DB9B45B98032C4D08EA89 -EDA61CFAD2432A10673C63872FCF6167 -39454431324333363538384242383233 -7E8459131AF985D1B2C74415E2372EA4 -24C31AAF44DA2D9CE1E254272AE7A33B -1C003F8439240EE498979A73C356B758 -46443933383538433837333645464636 -4F8E21CA7E429636C76DDFA46BFED91D -5744D2D347991C692F675A353D8DECBA -7050CFAA6F7628287047AEE1ADEC95A4 -32364130453635424634314538413333 -07C7A62B25044174401856FE1DEF7CE3 -28A213ADD4B203A2D655090A0A2B4C65 -E0B8300A11BDD1C87C1737324E4CFD6A -35454642334243443846393136383235 -0CF347F2A746DD92BD160B4E710AD97F -08CD10221EAFE41D609FD73E2BAF86CE -DACC64113E901B934F9C122430C4C6BF -42453341454531434630374545454232 -6794C7732222F1C055379F3B67E97540 -EE6DD6FA24D9D1E648E20B66C81B6961 -66FEA259C5988B1F9FD8D148C9AB9015 -36333637303738343535434641354246 -4C5BD4D61C31E996142EEC8869F07ADB -A447E7E59FA140AF9BE0A2E1554A7C4B -C568FD8068370D0898247301E92AA728 -44444432364144413142414143453932 -B5490A4B1D0FEA7D02D41853AE87E883 -B89BC6C786735469DDCDF15F15B142BF -8CECFEA7111E74291FFAE3003837D70D -35393342383539333830434135433035 -20BACCC26E0E49D1AE8056E0D9B342E5 -DD4D7A888E6EEAA4EB66740B06FACD16 -2F4B54047BD09A0726919555DE69785F -44313130464344383638413743353446 -5711891A5722598DE563D6932D654107 -9931034A11EE9740D6E50F66BF76860A -46D9717825B7625C66288343512C1AD0 -33304441463145314436463831453235 -EAFF25574E03C605C8F7C5405F0B0DDD -678BE01765BF421381D45F1652D61B4C -F0C543FACC77604948B3CC60A17351A0 -30444136453537344536334233343538 -D6137687080221D68F12C606A86D02ED -926EE26078BA79E11B18321C82D10DFF -ED74FFF7824ED5891D106232EBE489D1 -44433131353442313242314433434544 -8EDB26D3E230B53B348038D04C539CC7 -54409A74C030EFB247A5F5D81CD4A8EA -E0016284630AF4953F55608B2560B35F -35464236394446353430313638353935 -587544445B1F80E83972B08202B35536 -03B05CD939DF7182B1460E95A67D9F62 -361D53964EE7EFB7355A5FB9A1687A07 -30454633313544373342423746383146 -EF045D72EA1ED6A465BB1549DF685C4D -5F814832D56BA9554845DCD4472B5A57 -DF6DCAA5B335E68034A433639EC628B5 -38333836443841464442434635313038 -3DC9EC939D920FB7AE9293E2ABC02DDE -FB901B30C8695C93BBB77EE9318568EB -9B3207DA09196D1523DAD4C139AE4776 -38373037383432413734463934353541 -1A92F3575CA21F2D7811002335FC2EE3 -EBA0A78B7FE01A590FC34580C79874B9 -9595D93E76CFCE322B1A701DABFB2691 -46393741383946334636414545464231 -04821211666ACD47F5A4690A5AA78BFE -958033D3A1C890A909DADCE34492CBC6 -51DA50E45A7D6C656CDA0127AA6108DE -31373537414133323233323533323445 -A8714F6F766F42265286C859DF93E4EE -963B94B889D8A940E09DD196BC8F2C4A -DE71652545D2413F56BCAF473CCA8CBC -36344635443633354545424436313930 -146971F17A640BCD81A2CFBAC63FCC1F -42374E673F10C79835359BA86612C8F1 -D8055D1162FC2AF3104D26E7C558E6AE -39393232304436363133414639423134 -D95ACE7ED1C97F8EAA003D03B98C9508 -D48E8E7E70643E3D8FC0C9279CA87EDD -1794FA531481ECD14FDF4EB0DB9BD2D0 -37383045353641304335394345304230 -66D3D246C24E6BCFE074594C6D25675F -AFF6B76C0ABBCC90A3FAB7F1BD244E79 -5A26F49CF3F026D0CEA89B8B9364DA02 -45304343334241434236434142444546 -4E60EDED925924FAC2C296E7153A9776 -0F44FAF0BFC239215B9ED9AA55AF7B95 -0040AC64DD4F4CBD4F49C16ECDF1319C -36394644454239453333303645314536 -469A886E631113706A413261522064A4 -325A810DCC94039E6943EC5AE2D13DB2 -DAF335ACD0117FC36DF32AACF38D029A -38333945343342464645313836434143 -3AA7363A741D4AD8FFF316A899F85DCF -7CDBCC097E223A4BAB5C9D41054DBC08 -7BAA4629802D482D3F3062A2A58C0BDE -44434644444133353533414431303238 -D003C586752F492F1B713B46CC0CB295 -69C123C7DE6FAB6307C51E05C1D24EEE -368583606872544E90C032B171AF02A1 -38394145374643353130343443393745 -9FF1A7625ED19C3280B6135001E0B063 -B4D1B99C825D1BC3C5362D48D9C9B66C -46E1385681A96EB625FE9D66C538B8F8 -46414336303436384231303442383844 -801C2404E2CD30B340F0124BF33B4860 -FB9722356842E505548CD9095229F576 -32079E43D0CC89D86668F2AE41DFFA37 -44344436364235323631394130463339 -2E18A59180F5C4006FCF73B866E98461 -D23F48D4BDC840A43CFE950BB7884ED7 -2469268E0366F8507271065BC018FE6A -46373644463242323739463443413034 -986669FBAF26D68BA15F933FF701A0AC -8EB9BEE20CB49EF1FC1C43D2FBB21357 -B32E9C972C8E2F8A61EE9F67ECB432E3 -32393638373738464538343537333937 -DBE3FA5F364F48C674FD17F4A33F6613 -BB9E0CDE6C7B9CA45ED2A5B6F38D3E13 -A17CFAAA0DBCD55750EC2074E0E4C5D2 -30433337334645393135393541414130 -C4F5FBD06743FD214BEBA68EB416AD20 -0D33384E1F1AE7AD3B879D3BB1AAEFC8 -E0330661CAB31A3EC1E0D9FFEBDAD43D -37463435463834384637363634433536 -3B09B4E26810C7B1F7A407D2B574DF62 -2EAA4843860D571672C609FCD1C31315 -4DBC3B20897C9536A68045CD65F3837D -33434533314434413730453432373141 -72632AE0132AAC159511E5E248C915C9 -83EEA068755014624E99BEC4B36329D1 -620DCA71D4B7AD60FAB2FBE8E333B411 -30343936433036313436383730374236 -B4BD64560C05B4610C7A3321954A24D0 -CADB405F52E2E0DF90A88E6A14FCFDD7 -936F8AC5C00FE25AACDE787C16165A72 -42433744443734344341343941354138 -803704119D9C8897BC46850694EE428A -E2386A9AB2BA068289417BC759810F9B -4F66A60FED478A1530C3DF49DFA67386 -36383030393835463646423832393245 -0FBD8165A002229788C22B8FA0FE184E -77B4BA1CAEF588373D90092603C1BD63 -74B7A06B2ACB6D7F96D0EE35258D3170 -38434436374646413134453430423633 -A77EB40E6AAB3A46D682FD07BCD531E4 -7AEF432E7D97E6E58D62AFBF5F6AD6DB -807D5F8AA370F6490F6F8CDCB702250A -35444531344543454432353830384538 -EA282391C4096A46D9556517FAB2DFBB -AA1177427618BF28D325566CBE85FA38 -01D4C34CECEAD405223DA06CBB5D8830 -41414536394139353237353931463630 -1BD53AB280C5A3B6E90FB080DCCC534C -FF504AE3423088434BA8019A06546FDB -BF0EF26D86B0A90CBF9881B5F0CBCCAB -36433534444335454537433242453242 -EB1686A471B92DAA8AB9B30BF6736E7D -C68B861D893D2F609F30FD550C016730 -3F307A7B4BC601902DD0DC455310EE02 -41463131423636433035303938444242 -FEC9DB207BA4ED8F56FC2A12E7883862 -A2B6E2C8BBFFF405BE4A044851562155 -25EC3A27005AE75CBDA4B5929AB1D855 -34384541313135433543363734313443 -82D735F9DF70EEA614CF54F261EAE381 -50429399271A60BFEDF871F24CEFEBD3 -057619CB69276E1E500A55706EA413D2 -45443144353635393645383034453943 -8AE008EADC61BA6739B14A15B619EBB0 -062D6F4FB2E968DED35ACD370FF1E1B4 -8D98515BD798322F3A2DAB2C56273859 -34363844453941334235443941464139 -B0C16F4A9135ECCD5AC1E592CD60DB27 -2B7BE826E48BC2254C55AAD1507C6A5D -5F5D6FB7E6812A9B52D2EAD3DC9DE1D9 -44433246414345364133343632453639 -8509F15BC1AE4EB254CFA36C764AAD5F -B5FF7AC57754BE59418282BFFA556F7B -6DB2699414BBE997C33F67D3286163B8 -35434445353334303233463745344630 -34FBA61CB5704FD756480490A961F310 -053F2FF321658C57D08762E564100FB7 -F9C351BF5062B81CDFC4D8D3355BC9D6 -34354431433142463432383738334238 -1A35111012D40AB1CDF921AFFE9AD150 -11B0CBD26D12909BD47EB9FD65B5DA86 -FF076DC6CF4F99C2F188B1BB3B39BD23 -35333231373830423332413138463743 -63D948D4CAF2CBD9D1D4469049BB41B0 -ADB262189752CA829E6312FBFCD26B8E -CD48F02FE0728C4CBAD67B517D899A0F -46374142323045444231344631343942 -0B04D773BDB5CA1CF605F1071A1CEF36 -02CC88346D418616F578F69F7854097E -B71D957A1FFEB47B295836B71B211AA8 -37463038464641354243453135353344 -3854CA0E509A24EEA2D7FC3FA5B98627 -010BC6BC483B66E7A34BDDD1ED758F20 -71AB35169823C9548B10ADD8B1EED429 -36393632393735334139424535314633 -CAEE6C40E8EDD6F25840521973702605 -3F4A90375C919627A0C9CA698E758119 -30CCA5F2FF318AC98EFC4E2C595061CD -46454446333445313142463530454534 -041F1A245D6DBB9BD464003AA0A599D0 -C39E9AC814BBBB62EC28B04BD248B672 -E8DE44411FD832E1B182AC74B869502F -41353234434634383141303141383643 -3BB243EA78E9454E7DD2474F3309145C -9559F6D145EDD7A9249F74A1AF7A98FB -27698E423C7E604630612507D9428696 -30314546363831393837383333444641 -2CE2EBF5D1D6E0E9CB6EA8C9284CDC78 -1712351A8338231C35ACA62684DF5005 -4F525772476E8BCF1C12F0959128F73B -46323944464433454433343036453537 -AB44ADF1A22F3A03B3FEA1425DDE0240 -A3BDB5D19271410AD2A98219DADA8CC4 -7C0A493A6462F84BB843EDF1559530E4 -30394538383544454545443836433731 -77AD5643955248AD45A58D5BE1F6074A -14A6D8A7AA7DA5F79837BD7EDEBBFF46 -9EF9F66758FA0F7BBC4F520E19E8BCA4 -32414334344131423744363042383037 -04FBEBBC8F27D366116B20506A17B103 -1D7560036919063E0B2EEB39A2A84D0D -1B5D34508D4EF50B80AC6368AA450E36 -35414632454433424534323439333935 -3EAE76ED808D6B8C46FFDC44E9C02E95 -44DE3E85F92A3F1563A1D257E86E48BB -5FB4EDCD67CD668C78C022576093C709 -39434342323632463844463038314635 -6D347D63EF60340B08C78F2887D91BC7 -B4EB9CB83A10007B6C9DBC16CA0BA2AA -582BEA8FDCC8101AC1406574ADDC58B5 -36413536453141353237453139333344 -B3F2C10C3D138A4339C617989A205A0D -522CF94DCBD1FF7411287F99EC3EB4C1 -B4CFEE55C63031E1CB02D3B085C1027D -33384632303230384438363937394344 -24F2B5BE542AB02EAD17DBB3217C2294 -B149AF3C112B839633FAD4F12F51C4B2 -A54A37F725DADCB517916112BFFBD2C9 -44414431324330373436434644444436 -6E2D230840CA5169744B225B8650F487 -46E78BA699DED5D423E4F39C929343DA -22EB0F06513D3C4621BF8A1E363D46C5 -32394338324346343438303635433442 -25EB0CAEE1F98CDD03D93F180B0549F6 -F047002C62F1D9F3D0FBB8534B62AC4D -4251F5AE4EBDB03C01A2F20DAE1CFE0F -39343230413030303631344145464637 -6E20A4B2AF173DEDF4BC8187FB869DCA -FE73357FD349222932072113CEB1D047 -2748DF2298E04E1CDB0B27D92593382C -45423935313435413931423746433331 -A10FC96561B20E2203EAD0859187BC37 -ECA63A8065B3AA3554C1E21EB02B020A -267E8579DD3D148DA387906AA2533941 -33394545363438433946333441363637 -05027E1C9927E0A95B5F2525E4DC3379 -C403608815054E462D2DF89C636D78A6 -7637A6B59561D0291097A11A5E8300E0 -37394535463333303543313534373533 -45BA59177CED62917CAE72B01C7C4155 -E252B84B62F1323FE2638FD99C304B9A -A4B2FFB507F685E3CEFEE526A410EBC4 -32323933373645463235433345463236 -BC710ECB03D9EE89F74B7B3A28FBA9A8 -0ABD5847E6F07238FDEA56D309297686 -B6D38365C6C0AF79B47E0094BD95C56F -41333334333136443733373534393831 -9226488AC485901585DC2F48E50A96D8 -86AEAA9070E0DD22AAD7C7D4A275A3E8 -B4277FB9E6AB8555F0B4966A316F799E -43333434313845384645303231383342 -8A26FF440558F44E82CB9860E881061D -6821B00BBB41D3BF48AD507579B23264 -CA556C6A10D6AA8F4B0917CE4164DFDB -31413537423636414237394333353233 -679DA1A830438998114F2F673FD8CF31 -BE4242CB8D67BEFAC2004A4552C4C403 -92117C8577975D0CCF1BF49D54BA5D08 -31464436423236393846343739444543 -3BC82EF75B8D9C9380F7EEB978A4AF09 -EAA64ABB1E57DC0FE0EFFBF73181BB24 -6D561E9D128201B79291050BB755C9B5 -37463743353630413839353637424333 -313CF10C013B723339D343251D2B3416 -BBEDAC51EAA0EB4CBC0A772603052908 -0027475907923B2B77A2BE8BDDB22D0C -42443436454430453230343837463844 -6C2E642806D1CF523AECAB0233625621 -2D780597C1EBFEBC93E7258863097E4D -8443E82D20E33EB1571170F062E381E4 -35464531453741464634363133364536 -32DBF98E6385AF53EC9F9F57D3316E87 -6B7BC068A551FF5979B5C4A814937252 -F54DFE4B978E141872AC7B7EEC624936 -38464438443935324143443839463439 -0EB13D72D4DEA99AF2A70D597D4E0953 -CCA1C0FD38D9E475384A66D01BA4F340 -2192D37F6A3BC20AA7B6EF1F7DF12847 -46334631423042453937364338393942 -24A78EBD18DFAD7BFD75FDC3D4F1DC04 -ED8FE7F600EC769B422F2EF16167EF7E -17EA0C6538C103798EAAEAD61960D12F -45313935343230374539373534343432 -B5408E1EE194D20F3805B8CFABD50C32 -D4F7F76EF78C182E2BE807D52B433B1F -5B997895B29921F751EF2CFC26595B2F -30303934343541323835353833353242 -CFDFE8434932286DE6190378F2535175 -C176C17F7542F73E8EA507319ED4CECA -0E16B638CA4BA91A5B0C0A7874000E15 -38384335384339313438324244424641 -A710ABC7A5F0FE70D1476986FA1709B0 -E35DD8ECF78D6E28EFFB8EACB1AA7617 -263158C6F0DBD545CD722398FB94E73B -44434137433144363445314245424642 -D61CA54527A4CE5761C5B6A9A5BD0B57 -2391C920AE9F2133E818B56C6A28EF3E -EB00D295807C1338EC078FF5B428E7C3 -44313443343945363432323544354443 -EB3D21DAC6E39E610298F119AEAD1428 -D9C23F745A79C183F37613DD30E878C3 -77FECFD7669B9C8FFF406302AB45FD54 -34394233324138323342463037354542 -096492F1A1E27213E06B9904CB5ADD17 -5A11BB9BABC3041CDA0C1FF8AF2A365D -6628808A0ABB4A195A7E772DCDD847FD -38393138374632374144453833444630 -0D404E061C5C0B2D888E51A7A7796E26 -B873A5BB30CCDD643AE3582D312D3839 -24801EF86164DC6A5D17B768C5573C89 -46324430453432343730423631384331 -1444C4E603A19B79297146FB34A73247 -B78DEC388040132EE0BA603BA584FFA4 -7708F2735859FC7F287189AD861C2E34 -38434431424644434246373035353546 -556E0F4F53C2AC33DFE2B35D8C370EB2 -1424801F0CF173BA51AE5DED34F19548 -478C4BB9C683B4D0DDB5D9579D5336E7 -45313330453933303435343531353631 -34C7AF00C6632CFFD6ABBDF2E07CD4B7 -AE95BED41C6AC8B773FBB30A29A34357 -FA3AE078F7D6D64490FC4244CD125ABD -41394343443034354337373432464334 -D74C436D17E998ACFEFC866D6BD57D18 -22515384208E7516F8344995BBDC02B7 -4E6EA36CFA0257A1F2E823721BD2B0E4 -42343631363041433032433230354145 -30C34D3A432FA7F49591E8B5DDAD0B39 -87FFAB780C118AC61B914C7B7161D50C -9242E4944A1087257BA77DC1205E4552 -42384344343944313438453544424446 -8EE889B25BBEFC465B6CCB533C11FC8C -577F8E02E1664EB83454B27E5409699E -7289F3D1A6FF7E44F5980A09DFED6C0D -31343741424539453432393345313242 -9CAC630ABD307B519802ED4E0CEDE24C -75E10946AFBBF34547FCD6DB78DE8596 -6F30903EC58593243E683EB9CB9FADD9 -31303543433637313241433945423033 -D85A190F63F2C175184AB2C16C566567 -2D9875DE28EC41008F3800C1B78B0C76 -D9B1D3B47CB4CF5814E40AE7241CB078 -32424232464641434142373537423541 -31177A01796DD8AF62DC23E0771DECB1 -3E89B339095B8EBA6DAE4B9B664893D3 -2DD4022A29E50A7CAC3105AFE224E78D -37313232324333433938343339394135 -EA6D9170297D8DE73579A2F8BB9860A8 -A9CD7781EC5DD6B09B7E76E965EC49B0 -FBE3F5EC18F3C16BEBB6230F892E0F09 -41393635373531443439373744433334 -1161D982173855FBFC9C3BCE9EAE722D -D84A4F392E776F31F340999E331BC4FF -0FD3C5FEFEB0B5072C8268E1A9E2AC14 -39324331383644463739434134453035 -F837E3F26F993A2EECBCAB1F808AA40E -9D0C7A48DBC12B2D9D257C92ACE9544E -27DF96C0F21B08C4233581EFFDC37A52 -39383737424145334131383937443635 -9173F85506E1A499936D3F1D1A1195BE -7BFF9DCB2323B5A06E473E8DA83AA232 -C092B22FD41F9521B7F6B6FEC0B1C919 -36444437374238393541464539373338 -2CFE2838BB1AC054F9856BFF8CE9FBB7 -1F2575E146CF903ADAD39D88449EB3B7 -E600D7D527D4A246B19DC022437BEA07 -30394232363435343331424234414439 -3ABD0EB2BB492474CFD1C48B8D14498A -A463A32DBCAD880FFEECE1FC3A98B5D4 -390F0FC853E2540760D45A72620B9CE0 -44454338333143393445324636313639 -39E676E5D5470364D8DB86D8435EA61A -50C3B32469EC8C0D53EADF3757A5B49D -FB72B7F6C49574DFDA61CDEC2C385D59 -38343432373144344541453746394346 -E0456DD60C512ABB54E9527EB559C612 -7D667CC9C4301B74524F1D3E19EBD475 -11505B4784733B17F880633CD8D97CFC -46413244373738363536353746303545 -FF8DFF27E7494FD127F625EE0C2D4332 -A5F9E5338CE052DF4E4C8207CAC782EB -B8219C6C96A02F8394D964B3DCF20FCC -45373441394435344635443034453835 -BDE80EAA60DF0C423AE97DABA925EC07 -18111A0AED46F7B42A695D9EF9E933EC -DC351F9545D1CF61C8E1F141339B2659 -34413530303234464435363342374541 -06D2F332B16D0107F146E4ACB4FFD822 -8129C5EB86EF7C7038C270C78C377A72 -66A683DE9891284B81E29B57D710510B -37443545323743393233453434314533 -E74BD6D1F5CA61070E2E13A49CF39BE7 -85F0063D43A7CE6FED448A33C459A29F -7A2C55F3F9A468CE8F117462BA16C9B2 -42313642354632323831363143343839 -B12E107043F947FDF4D45F968819013D -4E258889F0F93D2F5D03ADC4DEC9433A -0929C91E41A9189E3E2DC83451EB3EEB -32384431423637384246364645454137 -0DF195C3A654F7DFD75EB83A0206052A -5D529B63CAA7E8454F54183ADF0FB7E9 -9E6E711204E3177A9958DF556EEDEC48 -32304643373038384536324135333830 -DF05FAC723861C63A13DC3F90C79D1A5 -250091446B44E4907C5152F38BC6865D -D11A2D50E515197B4898C2D3A79BBEBF -31373438344333324138434443373543 -9E71078277205C863C81A966801707A6 -973DA3CEF6EEAE16048164C8F85B571B -BB59556B7A5C74CC5FCD8CD24F451DA8 -44323444424238374633363345343335 -FC2BF16D7588E01A94B86BD6D01DF1C4 -2BA3CD94CC8D77B5972A0760DDEC5656 -920E6032C5063C35E26AA97ADE2C15BA -33414445413637393731373144394430 -6EC3A22D263B7CB600278F8E9142B405 -BF0E0EE612A4475FBE25BD621C42C3FB -09C0513E719189BA899F9BF9F97073E2 -37373343354636354133424437453636 -DBABE6055F65A430FD802F65C42B9C3D -07FD005809434F8CB68246D37D5A17F5 -0ED4789D33BEE16C8A86C977ED8E4DC6 -44373231323931443035313046374645 -764A4E4803884AA61464BEBC67DE1A5E -105050C3B2C740E17C149ED4B6368F74 -F2320983C70E3EB570B815BFF25B3EF8 -45393744394241413944323244444133 -09DA4AE0A623F4C68B7A6180B0AB933A -02466D492E5D7C5BBB643EB12535CDBF -BB6C19A78E82A023B03D23E0BEE7BFCB -31463334423632363445373238333646 -99B62C52B1101BF7733F622D9036C72B -B06C82C5CA4C472166760D9087ED624E -F07F9CF4CC46B187CB62D1892DF106C3 -32313438423531344246433443353430 -F2EC87149306931EE1DE026AF01FB0A2 -208820398941402E668C06EC259435A8 -57FEB2CE1E177E37FD18A80DB76FA7D9 -45374243334236344135363345383141 -941A999BDC0BDD8BFD2AB479689B9ABA -FD9FD1E7897617A5CAC2D7EA9E336C89 -A0B1A0FB0721A18ACE89397964B54C68 -35443233363141313933453544313545 -B69010D60A7B65862591126FD359227D -0FB6E94ABE5DE1045E97278C484E8E7D -57F837F0E743B2BE80A2CC1327BA5C0B -38453230353041344541443641384642 -455A8DC2ACC21AE9175FBDB2A7530598 -8364610A6AC1116CDFEC4EDBFD86DE32 -7AEED1D5705EBB58CE8F6EC31FD647AF -35443133443835304538304435324245 -F24854E4C5D23518A5043C156D16D8C4 -4E09A36E53AFB6A8148F6349198E0B82 -384C50E3F1F0351BA6948D4766E2DD7C -39424636463031414343433636423146 -0AB8FEC8499105CF3847A65620C0017C -0934A557925571F738B265075267A0B8 -F37E8970DAA9A399453DA2F3AFE8EC67 -46373041343631343932353439464535 -B544E6171750236401568BC975FAACCF -81BF3C9F79AA499C94FF32A9F490A8A0 -9BB75364E22D63A3850B6AD245F98B0F -44344435384233303836464335413443 -A6BB70A3FF06996FB8592328DF866276 -C3957F3549FCBF5CE0D3F3DAFC385AF8 -18DC1F5C8D8D8A6B70302DE252ED05B4 -46344642453543454534444239394231 -B86868B256C4EEE0CDE57AB24B7E1F9B -E15271144788291A908AF635885754D0 -0C4883804ACE9730E68F247CE4AC9EC8 -30353835363442434232393737324633 -23634202679374568D56975CB09BEBBB -0EB9125AF815A1B3E8521F65F28A5204 -13DDA2D3D9C17A5F2460E0D56B0F3FAF -44393430373837373730343335424235 -A64210443130E2F911BC5ED746FA0CEA -B240DF174CF3E3C6CCF1432D1BDA51BA -3C37DD3974A3A43D74044AD096786A3A -39443745443733393635323836423446 -BF9229C4BF575121649C38E50ED7BD7F -478B0EE28EDECA8084287B290AA11794 -622D8347BD42E5C6191047A792087A33 -41353445413635453846314234394631 -6D862240BE2FD4D5F2F5FF4C8DF2496A -CCE98E24F41ABF4B9D54B6BD468F3712 -E17523DF9C178A8BFD57C4274A879F1A -30363739354638363037373141344346 -34A996F76C3BDF2C2A0D5F49F2578E57 -3C91396B05C0AA4C73989EAEEFD43A47 -69C3411ECC39BA4C141DA5EA51364A6B -42394336344439323830304636314136 -D0A24E444605CC6EED108CDB113AC744 -199D294D88CB3AD1D077073289BC87F6 -5F90503CFC2647FC39E3A3AA7B3E4831 -32454632383943334230383033443142 -0C665E2D3FB93BB7A216009A10250D4B -6EE5B70C11B58B480334EC532BF82F89 -6DA11DA091D1D7DB9B730DE7475976A9 -30334430344146343131444436413245 -3B9B779A4475D2BB32C3BE433AAA5AA4 -323D38A577F0190970AF07E1F467A085 -B9907A6CE7CAD8E3B7F18D710D582583 -39394342453436343235433437413737 -56D2E0D0C0D872F56A23097BB056FC7C -F574684459C5862E92B3AA288146EF32 -E2847A185BD4F60B8BFD578CE93695A6 -31463034414533424345383339424143 -61384E2D7BB6239ECBE39BDC0AFEB8CC -944C26AE7E774D9D2FCC42A7C9AB8C3C -7C76D9CFB7A490DD389DAA96C753023F -34433546373235333735303938433545 -3D96F90BFBA92C7E19C02636B3CD49E1 -6791CA53DBFA53E0C864F38EF7602DAE -1E3371C0170A8D0F6835AAEC5B7B4E55 -46393235364336333346313731373838 -F64B4C72A623ABBA1EA95D2930B56818 -19A064C81ED3487F92D18BFFF2C3F2D7 -31731CD317CE8BB2599A2E18D796CBB5 -44343933354230323531354534364631 -3F79A067AD7164DE3671A0DED2B06CCE -56554EA376051AED4D861D7FD4DC40BD -304FB214F97FE65DE71EEACBD2085464 -34453045393031333241423844313942 -EAB85441878A3B28DD97BBD1539612E4 -E5CDA762586EF1C557FFEECD7972152E -EB28B3F704281F07574FC8D753C493DB -31393543364634333438313441433935 -AABF7FD6BBE91427291D678093A6B7EA -ADF6C6B338CA02B1E25F2C4B96928970 -16C7EA06A20734D15AAF7D44C70C09E7 -42343046363243393333373444313735 -4FB3CEC96D8D6791CA2BC5534CE89C25 -B60565F786C176C1121653819BEDDE1A -7CEB32D79F327AD7833C4234B00E0093 -35394536464245443646303230353939 -6AB5EC6CF8BB0B6BA20C6FCA702FE75E -C86B8453DD45D4B502BD9268BAF60B71 -7E6A9B72FF4724A728F154AFEEF1A55F -46423245313842353045433639433246 -EA98DA32EE6841191AD4B418BA8DE9A2 -97BEFC1665FD4AD5F0D2F647A39A610A -76FB001084BFBD72FBB7E5D0B01C269C -35314230333243373032304144463244 -8560440C7FDFF56214CFD8BBA0D13996 -248CC869CCD484D973A10186F8DAB147 -445772BBD1BF8E7896E3F6A2EBC4718F -33353542434339343231354539423845 -9975C059206880791C20BA42A6E6C257 -875D92BC10F0A3A0F41C8C3AC72280CE -727EFA2C9E343392E25175957D8EC3D8 -37344638424246383041354442313546 -0C4CB414747A4097258BB0BD2B9D7EB3 -6D46E76EA06FC65E509334344B39AF82 -294B983412AC1075970DF80F1CED8B08 -31344345323534314234313230393538 -D0DB303C0A69D7B3C8C8B76611FA2100 -11C142EEC00980AABC59FAFE6E58AD75 -5D8EBFECA8B02B0BB66A9E0A389AA10E -38333241344342304431343939303536 -4D2DD7A64720C46A4939C482198B8EBD -FE67C8F56DA50D68B04D97331884079A -03AB8C071999CB41C276CDB3531BBB43 -31433644314138383833434645374634 -7A2DE8063D9DEE50A08B56CC215993D7 -1AF5B46DB7CB4219B0D34102D9ADA279 -2C7BD467F658C94388FFEDAD1640B025 -37353932463636433234464437453338 -4DC9259ABBFEBAB35F5AABB98CBB05C9 -B5BA80080E0A414265CC04D2DA5D5A5A -C37A70C13D739A5ED41E900249E6AB1F -45444232464142313938374241413446 -A26C7CD4391CD4F8E37C3FE75AC67EC7 -1D9BB2F1B41F6EAA08BEE474C6F2105B -3AE5EB7AC9340F9874CCE576F9ABE202 -39333935454342443641373239443439 -5A32753E63619AAC02FB4ECECBD41478 -2C7EBAE59A8AA924C4D6F37C1F0B5333 -4D2373DF802468E75A5CC2C49A2ADAC1 -31333033354232304234414135374133 -7EC83317E31AC9A6CAAD731ABAFD05CB -D740B4AE22D80D35373C48E41A9CAB64 -00DBB6095BF56293F006A9031372821C -36364545354332394630433946393331 -62AC584B2D1892FA6D1193713680A9ED -CAA458768223965B684E973D4401F269 -82018F95EC267BB8CE0E2F3371EF6041 -44444439314634433335394531343235 -B041FD8DD6F8DF9CB9DE7648049149B2 -BA1910DDF702B99DC40B0D743FAF0061 -2129B988C2C0B50F93A79AE72A18C880 -44393033454343374332463530353632 -7D755A042B632762F65D11747CAA9CC8 -D016801BD7A122551734E144B162FFFD -7E4BCF6BC45CE7EC3B11C595E599E958 -44384431413036423846353746364242 -62CC4C916F817B5CD3D2A93C206BCF99 -E5F08764E1921CEBF2CA0C18B52E95D7 -BF650B220EFE68E8D1D526549D73B386 -32363635413136374131383442393630 -8EE39BAB85FA7ECF808F685DD7C7FD87 -2F154F0D999CD03EBF8EDD6985F97B4E -7531863FA514CE9F6DD01D2FADA2B70A -45424645364437303844303930303333 -8B9664DFDCF68A089BA6F868F8A6868E -6F405C74878C9B8A5E31CAFB6CAFFFBE -96C3D4C6B798B6A3E00BC77DB71FCDE0 -34363032453444334643383741313446 -4DA374F363A48E857852A609D58711AC -09C0235D21198B855206B23327CE28AC -33326C2AD120E0743A3C9F1FB658C231 -31313833364635313834363041344243 -ECD5851F48062C5A2334AEBA3F949CB9 -E547ED1FEDD573703EFEFA325AD04067 -0AC4FC5939C86B3DD87A6C321603ACCB -37393437393132303946443844323244 -C9106CAECEBC85077D19912D7775C5CA -1314DF8483BE9C4EF8AD2ECA2B93BFAD -F7D0CB74AFEFD1CE39289413B7488802 -34413938354546434134353239373839 -42DA0D5DEEF01AF7E8DD33084BF3A934 -494D4F877F9B5C9579CE9D959DA572BE -E696431ADF54345B308BB74D48ABD674 -38343333324643354339383839453545 -70A79C0AF658D91F147E326A3C0BA165 -1822C782860B15A4228C38A1F5E2188D -F50C1520ABD3A2997B677C38E12CAE58 -45353534464644394434394534414243 -AE27EDC2DB935343F30BEEAD1B244F5C -51CBEF5F7D6E52E1AFCD879C2CD0462F -CB1F15605BBD96F82F8DA0C58CDFAE1E -32323442364644444631323146363843 -121E46C664619ADF94A39CA64C3AE3CA -505AFD023951512C749BD4000690F10C -6CF54B9040E99710643AE5EAE9AEBA63 -43374146314131343442393935393041 -A6625C9588EB9CF4DE4CDD4DA88770E9 -857F700E1633C2D233989D0CE59DF601 -DEFB7DD26BC57035DB7476970891CF72 -36433039363041363033363832423946 -2A536F8D68136619F18681DBBA9DA09D -E5EC10B3B93D918225227EC4F460C587 -BA80056769516A27E379B4ED8A8823EA -39303730364344423739373643344438 -141A5851E15B21C65770CD0DFE0D74A0 -1C9F9244DB1DB15100452D81AB8D3F64 -0074D88848CC39DD12BDF67F2310351A -31444534304439453741353237394135 -FBE5DEC759D39CD257F5893C5082CD62 -CF8AFCEBB2A0848309CD8A62F0118967 -026421B57DA82FD3BD1472805C03C772 -35433439344546393338303544414437 -82D0D4B401688D3A6666959DCCF4F80F -97DFDD8123E59C6A245BFE554174C522 -E549A64621721A0DBBE16F2E45352244 -38304346304532333235413342464330 -F181ED3C23CB3014F8721BB907EB3E6A -6FE2513AED3ABDA2E92A66E6A3341612 -3FE15221EB7B1D57C9A258492C976CA6 -39363843383233363537453832344230 -1A6F01F7C63BBFC0337163B65FF98ECE -6355FA7C398AE8AF8AF4791910E73848 -820BBFB4AFEFB91F12BF1F3CD2B8DD45 -30393133344634393436464430363634 -9B02585DDC5CA51278BAAFAF0578C608 -EA0473CAD7E38D519DAAB9D9052BBDF6 -A4F75246B7B834DE847DC5C0ED6C18BE -35343433423839303636354144334630 -F031346AAB707DD85EB3CBBD0CA4E629 -B0824C2BD8C785C2A500D10E19C5D26E -6CC9D0E78C9A7C7FBECFC2DF3A622977 -37463230463634313745463446393332 -80DECAE226AB81E495EFA3DDB49AA130 -D844D9AEB444634BAF97D4AFC4EFFEEB -CF5D101BF524220F26EA7259ED5A4B3D -30353544353639344236303643334136 -6350E2131CBC01D251E5A2ABA103B6E9 -79C19F3238887EF5186FDB4AE7903147 -EF4DB55F040351F08CCE5F7916FDB96D -35453542323345433344363333343033 -51EFD899F8855AF85087AE6CB26C91DB -F363379A1E576CB514CC8F1C34816D84 -CACF1EFEAD6E4520B4F6D6791B0A8C4F -41344131413538313944383230373135 -A9284A6568E0652D0D4DA17EB2905E34 -4E92E6E04C132FF33E1CE90F060F4203 -8F4BF21B9725E17828519325F40DD6EB -33343834343736424342393442423332 -3D95DA6FBBE87F2DBE251C02A8AA4BEB -76E47E42ACADAEE6DD785D39E41C4323 -685CFB620FAD090F0125C4F8120720FA -37323239303137394346384343343445 -70E5FD50C1CBE572404CAF2DF3EE938A -7EB191F024D8DC1468234CA48300382C -A9AF61063EEA07265DB518647BA614CC -45363539333046343544463742393043 -82120B4B6F0072D1E03FDD78A59244D2 -3D8DD6965F666D27BF8D9CCADB63F8D3 -2077E8DDF7082F158C433A126C84F784 -38353836333642413632414544303432 -4FFFE9818D40603466545F1513A6B710 -79A3391140A0BFF46B5127A1158A59B5 -0DD249DF71385DF9DE1E6C963FF75C59 -30424245453038414432454333444632 -78D48E1ED672950B8D718A886554CF5B -65FF5F7A1691CE9287F06308FB50DABC -7E43D49A1A71F8E7899B9AE936B5A869 -46334237424630333035353144453546 -083E029F146D73986CD2305B543B976E -90CF07B0FD37897E15825BB31CC2315A -096DE0AD0655314CCE8E63F7D1D689D3 -31423834354246363139423741383141 -C49893D6E0522649D7D7328D3F13474C -5A754E3DD268617BD2C58EECB4FBA137 -29A55F0C2FD777274323B0C7008B72C8 -37304635393937343338424637334238 -7EAB875ED81112704F580DB443D7E2EC -D18BFA26880EF741BF03FEB1EC079298 -8615D82C2AC9F0AE7185F6C2B0C120ED -33384343463641464145303043464237 -236B6AD14BA405E0B5111A970FFB16DE -0FCA82DEAE511523ADD1C3AD6A6F0A89 -FC1B82F733A69C2B5EC0156101D1A943 -35413642453742453931383237344233 -C6AD097A270F73106A35F7056AD198D2 -2544433D7DC55EE788B4BC7E3E0703BB -3E8C45A3939AA471DAA191E49797C786 -44304632374437364143453837303032 -738B5F389242D8F720E66D745A530261 -D97DB85E023E8C9039B386AE6EB3BE68 -115EB72D6D312B10F413B401A2A36512 -33414630364438393934324334384532 -170AB6C6D4FC89D40A857BD80F3D19C7 -B7A7F1D5554B5645F3D1DFA4FB5B81C1 -E3784262449296D4A485633F85AF1032 -38353537383336384141463145394642 -E34049AFB58DABFF9BC9BAE8D2D79821 -C229A82A245675F8BC18EEDF69F5EA21 -A8169B27A21D384249CBFFC6F081DACA -41324343374146363043344138323538 -2E7D603357EB94CF8791E36DC4B157AD -FA97F976D9BCE51E5D065B0F8BE8A5A3 -F79E7024D98857B5C6BC9C8D8A78567A -32323734384136444342344335394646 -FB7A4610E377E9A6FA008D3E68355D47 -67A1E67035881C69CA1B6D3803A8798E -DC94AA821135DAD83B000467B620288C -37353646453741394645413446324641 -3C1719AAAB5DBF47E534C7B74E941311 -AF88344978CEAB8310391C998A79D7B6 -651B38EFAA7D73D49FCF0C73705138BD -35433733373936463336343343353236 -BF0C0E4914D2646A9D08981A47166C78 -945EAA9FDA3A9AD78F84DB308963986E -2E4959B08ECA2E2EB996454F4F2FF9AE -45433035334333394237443733354444 -B5A061107A58DDDB708A4D40702E0E41 -E7C9F26D1756C9C04C55535CDB64C922 -0D608CA1286E1AA2684FA64D424A2F2A -39354644364336303837334642384536 -CCDB08BC8B5DA4C006EFD877A0E48308 -DD3F085DB19B3DC233CBB1EB10BB56D4 -BF3BFDC05C21CEF6C799D03D93276776 -37414434374646373536363944353842 -8ABB69377135521978EB83AD08291F25 -56FE2AF36207E0C2627B36320254BF52 -86AD40E10934BFCD421FD5DDD3F96930 -35413546444433443136464534313446 -217CF6A5A3B0A4B8F0F9F10AD24B4AA4 -D5A7024CBF05DFB3A2D65D4B527F571E -0559A81DAF8C046367D9ED02EAF9052A -45374339334642334638353034463430 -0220AFEF0F299859368D8DB55DF49788 -8F640A5228F19C28819AE2D329E784AD -77313B9A021F3A41424B0A3FF7D71725 -43413733313338314633453542333439 -825AD8743A61F46A0AA9CA5B3F84D8D2 -DC41492575AC81B33B50D3C8AEEA037A -A2AEADF405A9CEDA59F7257C48A8ED97 -37423230313938443943383039463946 -95BD3644B6687762B0D25C92E2199798 -A8F41B7359E0628D3D5645E2D3DC313A -C1047335C8298D70C946F71AA450C720 -34334530363930334337444432334243 -25FC034116B5FD916386998CF6FBFF77 -C1A57374FA35E3609F9C4A81A44B4D6A -5E7833D885EEECAAA21696B16BA58AEF -35343830454433324233343935313941 -C3E7CBB63544AB384225EE28D0337483 -DBED00E1D0D1250F7A767D409F26019F -1E810B61965D2A82B3344B09867B717A -35414438374631313841373838443042 -C37910453665F69BE2741960AA1B35B3 -C9B02DE4BEAF113AAFDD8BAEC4588053 -00109BAD3D179EBC81EE14AC81F43655 -35323543444246424545413333333346 -CEEBDB46E3C409845C39FDFA344A52AE -CB4C0E6D87A308F8648189D6B138FF88 -00F75FFE7232D5FE311F89F657A87190 -36334245333137353533363431303634 -2DE99B26021101CA3DAC2AD36C1E08A3 -DC871E403378FA1422415022321BBAE2 -056B652676C80416E735879FE34FDA8F -46383632414539393133393335304639 -3E0604E3CCB3B4A87E23E81252537D6F -B6303057496F08BABE612B1DF97B05A8 -6547F944CBD61227BFFB1EB9DE406B25 -32383734304143363632344631363034 -A7A7249B1CE5B5D4597BFE384EF7CBE6 -74EA53E8EFEC27EBA7DA76945D1359DE -CD81A9A89791C3FFF83B03827EC006F3 -38314532304441393542313638463433 -1002205D5FB45F4BB27CCF5D085B9C54 -BEB9B369C529AC3BFABF4374F52285CF -27AC44F99102B3DB7914453CB258BD07 -33333346444544443146303246303642 -43CA07D000A1D74A224D6610FFAE1EEE -08032F4B118E2D2346CAB5A87BC2FA5F -78815F557C26DA2594FED9F5FEC763DA -38323335384337443234463232384444 -D73F55AECFE8BC86FCD01512AE4F6933 -E5D0A5B06AB6E5CA651A1B0362BD9B88 -CC2281A765EEA65655B752FBE5157CF5 -32453046423138413537313834423144 -8487492A6CC3895F0C1E15E5BE358EA0 -239B6E69A6535D36C93FBB94F50D4C17 -0D4D81B8D3F3ECD49FD4E073C7528895 -34374339323842354342333433394633 -4647429DAB59FD05EF8CF5F1A9283E36 -54E56C5715A3A322B7573002C67A4BF0 -D916F319BD02CAC847772DCBDBBEA1D0 -42443437453337443632413645323134 -5611C5E6FF733FFB9FAA434958203773 -D5AFB1B26D0D2F942750D524ECFA942B -F6071F2B1B6C07FD8C91453FBF05D8AB -36323644413831333836393341434644 -001FF92E0BB7E89A2C3A550664BC6CED -A72E284A731483BDBC22387C2F456004 -89E6307AF4622DB6D31CAEE186820440 -34463736463842433345423234364137 -83DD80A12801A25780F0AF9A10638C6E diff --git a/4_SC1_KC1/test_cases/out_dec.txt b/4_SC1_KC1/test_cases/out_dec.txt deleted file mode 100644 index a37c989..0000000 --- a/4_SC1_KC1/test_cases/out_dec.txt +++ /dev/null @@ -1,100 +0,0 @@ -36414533334639413632443731383341 -30394531313143374531453741434236 -42393136354343343538453139394342 -46334538434234353433413545423933 -42463239414244354231414346333839 -43363142414135324530353342344333 -41414335453838344342334543374442 -30333543423237343443423930413633 -34314534433438413939363330414139 -35463835393245333041323230354134 -39453739333144433635304337343531 -46463736334337463844313444444646 -37393135363134463541324143394530 -45333841433741394432333943394230 -35323731433332453943323144313536 -46443943454532443943434137423443 -41313331433744454246424535383136 -36394142314231374438444236323134 -37324244344431384333414542363443 -30344142414538363736453331384234 -36414638324243334437414138303542 -35313644314238314535463730394332 -42433242354544323637323434433435 -31393336353742444530464530323931 -34394535423836443835354243464544 -42433637423435314243373042304535 -39434134433839453141364537373946 -37363345444344353936333234323343 -36343341363736304639463044443644 -32383646354343334144444636433141 -42464531424642384532364235424139 -45334233463544323732354139413538 -31323441434133433930313430364132 -43444643333946454534414343353532 -46343543414145443438443036424642 -46454235394130433831333034393038 -39374244304236423744333842373336 -33303445383735433944344233464232 -37414341393744414132343835313831 -36443242454143443646453230364632 -43344643414545433341333433374134 -33313736383141423046434246333143 -33414333444446364330334633453938 -42384246363437423933303638374543 -31453539363335454236434244324337 -34444331463838323439323446413037 -31463931394138334145393830453235 -36343236323543453443343239384146 -35343345444545414235343739433133 -36314546444632323131363035314531 -38453444463245373238453344414146 -39323936443335363733303237463545 -46323536324134363842363530413137 -45343945454135463337373939464534 -39383832383637353633433942433234 -46413941364246304538413039333542 -34333344363838303330323634363942 -41433445324439303235334632384539 -32303035384645343534464338453746 -38393231373930363446464544443343 -31373045343342323736463932443946 -30323833303132313146423245364531 -31304346374130413533443638303431 -46454138454539303042453342383931 -30333842433243453543443834384130 -43393230324331324642364233444533 -43364546334332343441434144443232 -42453938424241433337464439323433 -38444532463235334135393736454636 -30394336384243393044454337324533 -41373431393235353332433641363642 -44313238373833454339464546413631 -38464537413538313334323133373638 -34463637374346413735334334314345 -42323846333545453442313637344130 -42314532353833353446464544393633 -38413836344539453137303043354446 -37424233313832453539333230424634 -44444544394431334646344631363746 -31443332334231303738353432374341 -35464637374231324339344243413738 -33423930353138364131304446343737 -32393132443839313342324435353435 -35424141304546324636393444413744 -44444241383238443030454438443431 -36313845454330434634333837383234 -41354532443136343030304636464434 -35443742433446373543354342414136 -37433432374638444530363331433438 -35384636353445363236323836453134 -32363838353944423433333034354134 -32434137313442354345423441383430 -38304632393433433637374245423831 -41373639324541333134393646374235 -44314243383631423644343041423638 -32454543393434433346394438433837 -39433832393039373732463531464132 -44314546303831333643463444354632 -31373446433331453431364139463132 -32313042313144433539454339343742 diff --git a/4_SC1_KC1/test_cases/out_enc.txt b/4_SC1_KC1/test_cases/out_enc.txt deleted file mode 100644 index 1b7e17d..0000000 --- a/4_SC1_KC1/test_cases/out_enc.txt +++ /dev/null @@ -1,200 +0,0 @@ -74B7F3B773C71DEFE077DE12D6A35593 -B251A559D0117610D34D417748C682C4 -CEC37FF48B084D7A25036D3724FD2089 -6D2F03BE8E40BB344A9D5102D50FC334 -5574E49C2E6D93BAF15B4569BE977B13 -45A776E8972910CCC00E50ADC1A1A188 -56A221D0E0A8F642FF8F75714A3D9709 -4361B4FF5F3C1FF0AFB33E06AD9A40EC -58C352B3934CC67667AE08C2DF0A7C62 -192CA967EFE2A246999DB38DE3F94124 -E3F3D21393E10DA37C3BF721646C4D09 -C964665977906317E5E467660D08F75D -B4B239FF54607D7F69F10A80340398EC -1E8D9EE8D96E6B559F983BDFD9A9DE19 -626A0A02E00215F1462A5D3C81B89549 -3E1A9ACC303F9A921DDDAE2572B8C68D -819150CCB755E557EB2AC06AD05B9322 -B6B0CBA515C582C7825D3E6DBB84973D -E483C73D6CEB4D09DF44B87C74A4EE6B -2588E72AE70898DEE4409733F89CA04F -EF32E738F03579FAF25B2F96F6B09F00 -7CEC94DA9E323DFD421A66D23EE2183A -7356721DB8341A279858D35150D70586 -131EAB7663B6893DA8326DE5612B0B6D -1E67441467058A582BD8439E619E3A39 -CADB8AA9A89480ED37A44A56B443CF1B -EB9A77B1974F1FDF6F9AB74BA9108C09 -7A5F63107011C225579AEA035E956F85 -B700A9C6A828B2403B568FC1315523F3 -BEA843940F357EDE34B0033892B2A299 -7740B6D71B1893753A5ABE68C98BC518 -8078AC399917ED9D16238310D78C1C46 -424B64264005671549F540914765D883 -7FE4B616F827249442FD5B530C771931 -8F857180D147A5103C1E0CB29DC4222F -A18937D9865106AD4C24A94DA1321A8D -6121E926BFA1B000B2F6714FDD0D1AA9 -F590325BCE52AAA395F10A26E352FCA6 -9BF9CF0B03CA6F277052FA5C9FE639E0 -54AFB99002F0E2F5171AEEBB1218DA03 -4846106CC28CE4B123886F31753D2F82 -BE7DE1F366A9B674A7D43413F799478F -65DE19311C0EE313F596A1C7B3ECAB51 -E1BBBAAE0D7ABD7A0B0C9E8E9E566AC4 -CF375042B6381AA02035ED3E64D63F3D -C2CE83D5973977D2D06EB11F934537D4 -DD019ADB88C17F7B3257E9968A4B4DA6 -E00648FB80058EC86D849802CD3A6661 -01257C6D76FC62891C1246555FDA89BB -0161FA63BE191D740C8A42F819050AA2 -04A930485F01F037D06F75F6EF63ECF9 -019D9352CD5F336235702252C9965845 -6818360E391D5D31AFB1C737A18D7FF7 -1B6FDABA78AB6F21E84D5FD3CDDBC529 -050F9E818D4CADFA249074E4CA9C8C8E -466230E1C17379830EDA6EA5D6B5BBBA -A18BEB048D2A1754D15C3591739D70FB -16327A5F7C22F083C35795B497DEA558 -635E441878E5B8812DF7992BC828A561 -5D10D91C59568598D10F5AD6B9F4F198 -AE80E88BB425862FB9CE8529F8806E21 -39C6E6E9075154632599B5237D12E9CE -CAD04D10CAE1E7C140B865051F83CBE8 -457B1D24B00EC391E5B9E941E36DCA23 -69525430E1D2CEE7CEC26905E875EFBA -C354E77544728AEAAD3EA08F5FDFA5A5 -3FA9A1851DD6972AFB50AD5A4D60C394 -5C976EE8230833DCE51912E74626BCFE -E9EA035E370E04CBE12E89EC9BAC464A -DA817382917F81A285C59F424BBDF05B -BE7838EC1A327AA1D8CF4CED8B86C559 -89156EBF7CFF1D157706C99C25C81CFE -5B71522AE649979686288E3BA43CB726 -4FD26C8CE597783D99ABC1F00C0E8535 -10532FA4E7275468116E3007763E1D05 -B30DC0A17AD51D90B63DF189DB36CA06 -C0B2FF02B8DE066121734656BC418CF4 -0A943773AAFECC523A3B0929CF9AE143 -E1B3B965D389A508DCD205AD1EABFA08 -BA83BBB81D44F1EB97A70DE3D0FCBECA -FC268D03CC013D8BE3E7514EBA52454F -C5BE7C14A10FF7C6DEFA3CA7BED8DFEE -81473B87C8CC9266A6DC28DED39751D8 -CF6132CF7618534D3B13AA2AF32AD7E9 -E04072A7F6928B1EC48A6F03093C9756 -556A990982F8D8F1C0C27BB33F563412 -528C1C2BFE7A5E3105B0266DF3A8B6A6 -ADDD12CEB1EFDBCC550AD9F901BAB94C -59B4348C5B44595F84EA933DB0319841 -5BD4C775EC3E2029B1BC99DC9F526AA0 -E65A4AE262A4BAB1F0B9EAD929D7FB8D -CF6C30410A6EAB22D737E3CF22785800 -9F85DEE42303A2C0E20A04845DDA1E77 -42CB3222E978FCBC55D0F194A839B4C9 -577B99301E66233A79C742D8BA46D516 -6477E23D383B2017AC8E9AFACBD16870 -B637940504D7D3ECC7A0E2531BC68C30 -33A44FF148549BD15F946726D885125C -F77FB55266BC98A50BF4870006392D8C -9843C841DDDB40BFE02307412A2C4A35 -F7D03A37117A3B2A7878CE0F425E4D32 -E8626997343F57F001EF7E6F4D20E6FA -67A36F4686876575A8A75748DFE2F2B1 -F4DFA2B41DE5ADA2D859171725A76A8F -284A056F607D4C1145E881A8BDA3DDBB -388D4E5772B32CC1422D3A8F0E1D60EB -70F6C84CE8C382C03C0630B12924335C -B73E4B831FAB1E3AFD1A20F15F538504 -10FCCA0F5BE22BC6354A9E005CF4DC03 -E6E5479C74C74CB8032428CD7860B8DE -A0CDA8870E163704906ABD1D910041E6 -D7A09020EB2E25DABC267862DB91F7F2 -FAA8948F8061630A58363079ECC9B2FB -2EA9D091B791BDFB8E618C0E845BF60D -B7B248BFC3ECF06132869DB2599730E4 -007403A85E9FDB8F023FDB3646A7F828 -967DCCB7D1348E71E00ED10F6D075F82 -5C3AA1F2C6273F00A827D79AF5044748 -89ADCE4814674F2ADBFC9B64CDCED57E -7211F2F76184B74D5913DF530B387C6D -612477F0E91CDF6F10461BD0C5689622 -A852B092F6297D3BEEB662602B511595 -36D4A7380437C957153533AC211177A0 -DD1B2062847D5FEDD055DDB1440C41A4 -EBDE1A8949480A91E59742570BE03005 -96A23158F3CC8432EE91DC7F05CC3367 -67DA408078B78AFF66A1ABF4E682DD63 -9D330A50F04A40EF14BC810DAF1C036F -D9D4FCA7E35BDB0B532E2CEB135AF536 -0B46C49EB286D5A32EE2977C2F953E4E -783D965F7DBBF859FB67E16535AC7DBD -2546A8D7522971D20C62A99C0156F304 -B419FF8713A4361F19F01A473FCAA2B7 -4B0A6462696998084B27A37BBFC46745 -A1E91A4B0B12398704F2FC317CCCB456 -685FA4CB4135719D129228741D759F0F -0E49ADF21CB47EAD92F5258A1FE67448 -600C7C23FCBC4360800912B21DBB39B2 -43CFF95811FC29B281A25AF605F2D583 -CF6B50656729E69DD3333D9B6C35B111 -17F5DD00A5ABB282B16D5F708E4752B0 -E22E9BD3273F4642A5E4DB3A6678D734 -CA0F7E24288C27AEDA326073B525CEF0 -77E284F2A607A8C74E6A64A15F0AFB97 -046FC4AA9E7A11FEE74E552661EDFA6D -25174008D453F7DDBF5EE50B09ADA224 -158D7BD55C81353E2484BD7B311A1D5B -EE364DB843A011E21AD9E707B4DC58DB -A0522FB24582F4455D0F1A74A5F05D80 -C614A33A55E903563AECCA11D4A503C1 -8AC26BBE0332914E0FDBC6676CB7D37E -C3420EE25DED5188D5C722AD8CFFE902 -5358BD3E6E224E4770A8D40975DB1B70 -9C39B07DEF1EAEA2D1864FF61C469FA6 -DCB2CFD85A08BF3309A67F712AC23E7D -41773CAE5CB62F59946123A15561325D -ABDAEAF154E8BF56928E02ACA67517AE -65501B1CA568FAE20ABE212052DEFFB0 -4E01C49EC33635867FD4502D068211D8 -DC398039868F4C48911B86CBA5EF350A -E6F56B406E3F2AD7D7EE1C8C83433AC3 -46A1710FA77541E348C8BDAC2574BF20 -0899C97A1868352505E1A79EF91FA922 -801F60AB8A7EC1DD078AA83F34C17B3C -E0BDAD2E6C1884B1D47BC73A3EE9FEB1 -36B7DFF8AA8033150BAA2BC027FB18C9 -BF9284488756CF5C1C20A0D56A354D51 -A62A14E491FD529742A92BAE09259C05 -2377F78402F5D3080BA44B7268647A08 -1FCCD383CBC9350FA95EF56FE7B8F6A6 -006FF77C82BAAA56CF79EB74FC89AFC6 -91C6D6AF9289E16A368C0501E0979643 -00ABF6BBC1BC84F34C70C954B8991C75 -CB78A9C3CF989064D53DABE3EC66A302 -35401817EC8E97EB7C9D1B1694B36D1D -4202CBA8765B32B9D0B7D8F8B313A732 -3F341A0BCDC2470F597722F56E4D63A3 -CC06E8A04DBD882FC53EA56FCA1AA8A6 -B591BA749679BEFDF89933BC0055B8EB -9EFCA418C029D45A69F85CF2A53EBBE2 -AC8D24856CC20CA65DF2E724D706EFFA -BCA16B26A399D4E7565C448F19DCD4AD -A920914E8C6B5D71FB9966DFF47A4521 -777ACEAE6B7541984C3D8734458E8298 -2FDC00F6EDDFFC46CE90182E256831CA -DDFDEC9D1C2D151A95CAA84750FF5CE3 -3E11F690B138B27D0C9378A0B58EDF64 -190F9597712730EFC079999989CE3370 -9974637B0B2B3ADABDAD4C3AC7C04B41 -AF8589490F9D901340BF4FBF2931B4A5 -081680A1E34562D71BE30FB608207166 -6368DD9930D6A8174023C2119FD7D419 -CD59D9B1E06C499CAD7167F33D3AA2A6 -02AF2FBEA4B58FF8C6E79C19BB14A47D -80DEA01EBBE9DB5E32C9F65D63D2E849 -5876964D627854D62988A88359D88285 -E12A5793592ABB5421C08C51C9664632 -2604BCBD99D8DF10BC966395B554E509 -D2BA67B083C21C7827CF5D3C5495A59C -1DC6A4F5077B36B786AE988DA315904C diff --git a/4_SC1_KC1/test_cases/out_rec.txt b/4_SC1_KC1/test_cases/out_rec.txt deleted file mode 100644 index 8e36ba3..0000000 --- a/4_SC1_KC1/test_cases/out_rec.txt +++ /dev/null @@ -1,100 +0,0 @@ -B251A559D0117610D34D417748C682C4 -6D2F03BE8E40BB344A9D5102D50FC334 -45A776E8972910CCC00E50ADC1A1A188 -4361B4FF5F3C1FF0AFB33E06AD9A40EC -192CA967EFE2A246999DB38DE3F94124 -C964665977906317E5E467660D08F75D -1E8D9EE8D96E6B559F983BDFD9A9DE19 -3E1A9ACC303F9A921DDDAE2572B8C68D -B6B0CBA515C582C7825D3E6DBB84973D -2588E72AE70898DEE4409733F89CA04F -7CEC94DA9E323DFD421A66D23EE2183A -131EAB7663B6893DA8326DE5612B0B6D -CADB8AA9A89480ED37A44A56B443CF1B -7A5F63107011C225579AEA035E956F85 -BEA843940F357EDE34B0033892B2A299 -8078AC399917ED9D16238310D78C1C46 -7FE4B616F827249442FD5B530C771931 -A18937D9865106AD4C24A94DA1321A8D -F590325BCE52AAA395F10A26E352FCA6 -54AFB99002F0E2F5171AEEBB1218DA03 -BE7DE1F366A9B674A7D43413F799478F -E1BBBAAE0D7ABD7A0B0C9E8E9E566AC4 -C2CE83D5973977D2D06EB11F934537D4 -E00648FB80058EC86D849802CD3A6661 -0161FA63BE191D740C8A42F819050AA2 -019D9352CD5F336235702252C9965845 -1B6FDABA78AB6F21E84D5FD3CDDBC529 -466230E1C17379830EDA6EA5D6B5BBBA -16327A5F7C22F083C35795B497DEA558 -5D10D91C59568598D10F5AD6B9F4F198 -39C6E6E9075154632599B5237D12E9CE -457B1D24B00EC391E5B9E941E36DCA23 -C354E77544728AEAAD3EA08F5FDFA5A5 -5C976EE8230833DCE51912E74626BCFE -DA817382917F81A285C59F424BBDF05B -89156EBF7CFF1D157706C99C25C81CFE -4FD26C8CE597783D99ABC1F00C0E8535 -B30DC0A17AD51D90B63DF189DB36CA06 -0A943773AAFECC523A3B0929CF9AE143 -BA83BBB81D44F1EB97A70DE3D0FCBECA -C5BE7C14A10FF7C6DEFA3CA7BED8DFEE -CF6132CF7618534D3B13AA2AF32AD7E9 -556A990982F8D8F1C0C27BB33F563412 -ADDD12CEB1EFDBCC550AD9F901BAB94C -5BD4C775EC3E2029B1BC99DC9F526AA0 -CF6C30410A6EAB22D737E3CF22785800 -42CB3222E978FCBC55D0F194A839B4C9 -6477E23D383B2017AC8E9AFACBD16870 -33A44FF148549BD15F946726D885125C -9843C841DDDB40BFE02307412A2C4A35 -E8626997343F57F001EF7E6F4D20E6FA -F4DFA2B41DE5ADA2D859171725A76A8F -388D4E5772B32CC1422D3A8F0E1D60EB -B73E4B831FAB1E3AFD1A20F15F538504 -E6E5479C74C74CB8032428CD7860B8DE -D7A09020EB2E25DABC267862DB91F7F2 -2EA9D091B791BDFB8E618C0E845BF60D -007403A85E9FDB8F023FDB3646A7F828 -5C3AA1F2C6273F00A827D79AF5044748 -7211F2F76184B74D5913DF530B387C6D -A852B092F6297D3BEEB662602B511595 -DD1B2062847D5FEDD055DDB1440C41A4 -96A23158F3CC8432EE91DC7F05CC3367 -9D330A50F04A40EF14BC810DAF1C036F -0B46C49EB286D5A32EE2977C2F953E4E -2546A8D7522971D20C62A99C0156F304 -4B0A6462696998084B27A37BBFC46745 -685FA4CB4135719D129228741D759F0F -600C7C23FCBC4360800912B21DBB39B2 -CF6B50656729E69DD3333D9B6C35B111 -E22E9BD3273F4642A5E4DB3A6678D734 -77E284F2A607A8C74E6A64A15F0AFB97 -25174008D453F7DDBF5EE50B09ADA224 -EE364DB843A011E21AD9E707B4DC58DB -C614A33A55E903563AECCA11D4A503C1 -C3420EE25DED5188D5C722AD8CFFE902 -9C39B07DEF1EAEA2D1864FF61C469FA6 -41773CAE5CB62F59946123A15561325D -65501B1CA568FAE20ABE212052DEFFB0 -DC398039868F4C48911B86CBA5EF350A -46A1710FA77541E348C8BDAC2574BF20 -801F60AB8A7EC1DD078AA83F34C17B3C -36B7DFF8AA8033150BAA2BC027FB18C9 -A62A14E491FD529742A92BAE09259C05 -1FCCD383CBC9350FA95EF56FE7B8F6A6 -91C6D6AF9289E16A368C0501E0979643 -CB78A9C3CF989064D53DABE3EC66A302 -4202CBA8765B32B9D0B7D8F8B313A732 -CC06E8A04DBD882FC53EA56FCA1AA8A6 -9EFCA418C029D45A69F85CF2A53EBBE2 -BCA16B26A399D4E7565C448F19DCD4AD -777ACEAE6B7541984C3D8734458E8298 -DDFDEC9D1C2D151A95CAA84750FF5CE3 -190F9597712730EFC079999989CE3370 -AF8589490F9D901340BF4FBF2931B4A5 -6368DD9930D6A8174023C2119FD7D419 -02AF2FBEA4B58FF8C6E79C19BB14A47D -5876964D627854D62988A88359D88285 -2604BCBD99D8DF10BC966395B554E509 -1DC6A4F5077B36B786AE988DA315904C diff --git a/3_SC2_KC1_TC/test_cases/case_generator.c b/4_SC1_KC1/test_vectors/case_generator.c similarity index 99% rename from 3_SC2_KC1_TC/test_cases/case_generator.c rename to 4_SC1_KC1/test_vectors/case_generator.c index 05426a7..240d2b3 100644 --- a/3_SC2_KC1_TC/test_cases/case_generator.c +++ b/4_SC1_KC1/test_vectors/case_generator.c @@ -1,457 +1,457 @@ #include #include #include unsigned char sbox[256] = { 0x63, 0x7C, 0x77, 0x7B, 0xF2, 0x6B, 0x6F, 0xC5, 0x30, 0x01, 0x67, 0x2B, 0xFE, 0xD7, 0xAB, 0x76, 0xCA, 0x82, 0xC9, 0x7D, 0xFA, 0x59, 0x47, 0xF0, 0xAD, 0xD4, 0xA2, 0xAF, 0x9C, 0xA4, 0x72, 0xC0, 0xB7, 0xFD, 0x93, 0x26, 0x36, 0x3F, 0xF7, 0xCC, 0x34, 0xA5, 0xE5, 0xF1, 0x71, 0xD8, 0x31, 0x15, 0x04, 0xC7, 0x23, 0xC3, 0x18, 0x96, 0x05, 0x9A, 0x07, 0x12, 0x80, 0xE2, 0xEB, 0x27, 0xB2, 0x75, 0x09, 0x83, 0x2C, 0x1A, 0x1B, 0x6E, 0x5A, 0xA0, 0x52, 0x3B, 0xD6, 0xB3, 0x29, 0xE3, 0x2F, 0x84, 0x53, 0xD1, 0x00, 0xED, 0x20, 0xFC, 0xB1, 0x5B, 0x6A, 0xCB, 0xBE, 0x39, 0x4A, 0x4C, 0x58, 0xCF, 0xD0, 0xEF, 0xAA, 0xFB, 0x43, 0x4D, 0x33, 0x85, 0x45, 0xF9, 0x02, 0x7F, 0x50, 0x3C, 0x9F, 0xA8, 0x51, 0xA3, 0x40, 0x8F, 0x92, 0x9D, 0x38, 0xF5, 0xBC, 0xB6, 0xDA, 0x21, 0x10, 0xFF, 0xF3, 0xD2, 0xCD, 0x0C, 0x13, 0xEC, 0x5F, 0x97, 0x44, 0x17, 0xC4, 0xA7, 0x7E, 0x3D, 0x64, 0x5D, 0x19, 0x73, 0x60, 0x81, 0x4F, 0xDC, 0x22, 0x2A, 0x90, 0x88, 0x46, 0xEE, 0xB8, 0x14, 0xDE, 0x5E, 0x0B, 0xDB, 0xE0, 0x32, 0x3A, 0x0A, 0x49, 0x06, 0x24, 0x5C, 0xC2, 0xD3, 0xAC, 0x62, 0x91, 0x95, 0xE4, 0x79, 0xE7, 0xC8, 0x37, 0x6D, 0x8D, 0xD5, 0x4E, 0xA9, 0x6C, 0x56, 0xF4, 0xEA, 0x65, 0x7A, 0xAE, 0x08, 0xBA, 0x78, 0x25, 0x2E, 0x1C, 0xA6, 0xB4, 0xC6, 0xE8, 0xDD, 0x74, 0x1F, 0x4B, 0xBD, 0x8B, 0x8A, 0x70, 0x3E, 0xB5, 0x66, 0x48, 0x03, 0xF6, 0x0E, 0x61, 0x35, 0x57, 0xB9, 0x86, 0xC1, 0x1D, 0x9E, 0xE1, 0xF8, 0x98, 0x11, 0x69, 0xD9, 0x8E, 0x94, 0x9B, 0x1E, 0x87, 0xE9, 0xCE, 0x55, 0x28, 0xDF, 0x8C, 0xA1, 0x89, 0x0D, 0xBF, 0xE6, 0x42, 0x68, 0x41, 0x99, 0x2D, 0x0F, 0xB0, 0x54, 0xBB, 0x16 }; unsigned char isbox[256] = { 0x52, 0x09, 0x6A, 0xD5, 0x30, 0x36, 0xA5, 0x38, 0xBF, 0x40, 0xA3, 0x9E, 0x81, 0xF3, 0xD7, 0xFB, 0x7C, 0xE3, 0x39, 0x82, 0x9B, 0x2F, 0xFF, 0x87, 0x34, 0x8E, 0x43, 0x44, 0xC4, 0xDE, 0xE9, 0xCB, 0x54, 0x7B, 0x94, 0x32, 0xA6, 0xC2, 0x23, 0x3D, 0xEE, 0x4C, 0x95, 0x0B, 0x42, 0xFA, 0xC3, 0x4E, 0x08, 0x2E, 0xA1, 0x66, 0x28, 0xD9, 0x24, 0xB2, 0x76, 0x5B, 0xA2, 0x49, 0x6D, 0x8B, 0xD1, 0x25, 0x72, 0xF8, 0xF6, 0x64, 0x86, 0x68, 0x98, 0x16, 0xD4, 0xA4, 0x5C, 0xCC, 0x5D, 0x65, 0xB6, 0x92, 0x6C, 0x70, 0x48, 0x50, 0xFD, 0xED, 0xB9, 0xDA, 0x5E, 0x15, 0x46, 0x57, 0xA7, 0x8D, 0x9D, 0x84, 0x90, 0xD8, 0xAB, 0x00, 0x8C, 0xBC, 0xD3, 0x0A, 0xF7, 0xE4, 0x58, 0x05, 0xB8, 0xB3, 0x45, 0x06, 0xD0, 0x2C, 0x1E, 0x8F, 0xCA, 0x3F, 0x0F, 0x02, 0xC1, 0xAF, 0xBD, 0x03, 0x01, 0x13, 0x8A, 0x6B, 0x3A, 0x91, 0x11, 0x41, 0x4F, 0x67, 0xDC, 0xEA, 0x97, 0xF2, 0xCF, 0xCE, 0xF0, 0xB4, 0xE6, 0x73, 0x96, 0xAC, 0x74, 0x22, 0xE7, 0xAD, 0x35, 0x85, 0xE2, 0xF9, 0x37, 0xE8, 0x1C, 0x75, 0xDF, 0x6E, 0x47, 0xF1, 0x1A, 0x71, 0x1D, 0x29, 0xC5, 0x89, 0x6F, 0xB7, 0x62, 0x0E, 0xAA, 0x18, 0xBE, 0x1B, 0xFC, 0x56, 0x3E, 0x4B, 0xC6, 0xD2, 0x79, 0x20, 0x9A, 0xDB, 0xC0, 0xFE, 0x78, 0xCD, 0x5A, 0xF4, 0x1F, 0xDD, 0xA8, 0x33, 0x88, 0x07, 0xC7, 0x31, 0xB1, 0x12, 0x10, 0x59, 0x27, 0x80, 0xEC, 0x5F, 0x60, 0x51, 0x7F, 0xA9, 0x19, 0xB5, 0x4A, 0x0D, 0x2D, 0xE5, 0x7A, 0x9F, 0x93, 0xC9, 0x9C, 0xEF, 0xA0, 0xE0, 0x3B, 0x4D, 0xAE, 0x2A, 0xF5, 0xB0, 0xC8, 0xEB, 0xBB, 0x3C, 0x83, 0x53, 0x99, 0x61, 0x17, 0x2B, 0x04, 0x7E, 0xBA, 0x77, 0xD6, 0x26, 0xE1, 0x69, 0x14, 0x63, 0x55, 0x21, 0x0C, 0x7D }; unsigned char rcon[18]={0x0,0x1,0x2,0x4,0x8,0x10,0x20,0x40,0x80,0x1B,0x36,0x6C,0xD8,0xAB,0x4D,0x9A,0x2F,0x5E}; void rotate(unsigned char *in) { unsigned char a,c; a = in[0]; for(c=0;c<3;c++) in[c] = in[c + 1]; in[3] = a; } /* This is the core key expansion, which, given a 4-byte value, * does some scrambling */ void schedule_core(unsigned char *in, unsigned char i) { char a; /* Rotate the input 8 bits to the left */ rotate(in); /* Apply Rijndael's s-box on all 4 bytes */ for(a = 0; a < 4; a++) in[a] = sbox[in[a]]; /* On just the first byte, add 2^i to the byte */ in[0] ^= rcon[i]; } void expand_key(unsigned char *in) { unsigned char t[4]; /* c is 16 because the first sub-key is the user-supplied key */ unsigned int c = 16; unsigned char i = 1; unsigned char a; /* We need 17 sets of sixteen bytes each for 128-bit mode */ while(c < 272) { /* Copy the temporary variable over from the last 4-byte * block */ for(a = 0; a < 4; a++) t[a] = in[a + c - 4]; /* Every four blocks (of four bytes), * do a complex calculation */ if(c % 16 == 0) { schedule_core(t,i); i++; } for(a = 0; a < 4; a++) { in[c] = in[c - 16] ^ t[a]; c++; } } } unsigned char gmul2( unsigned char c) { unsigned char b; b = c & 0x80; c <<= 1; if(b == 0x80) { c ^= 0x1b; } return c; } unsigned char gmul4( unsigned char c) { unsigned char b; b = c & 0x80; c <<= 1; if(b == 0x80) { c ^= 0x1b; } b = c & 0x80; c <<= 1; if(b == 0x80) { c ^= 0x1b; } return c; } void Mcol (unsigned char* CT) { unsigned char y1,y2,y3,y4,q0,q1,q2,q3; int i; for(i=0;i<16;i+=4) { y1 = gmul2(CT[i+0]) ^ CT[i+2] ; y2 = gmul2(CT[i+1]) ^ CT[i+3] ; y3 = gmul2(CT[i+2]) ^ CT[i+0] ; y4 = gmul2(CT[i+3]) ^ CT[i+1] ; q0 = y1^y2^CT[i+1]; q1= y2^y3^CT[i+2]; q2 = y3^y4^CT[i+3]; q3= y4^y1^CT[i+0]; CT[i+0]=q0; CT[i+1]=q1;CT[i+2]=q2;CT[i+3]=q3; } } void IMcol (unsigned char* CT) { unsigned char y0,y1,y2,y3,y4,q0,q1,q2,q3; int i; for(i=0;i<16;i+=4) { y3 = gmul4 (CT[i+0] ^ CT[i+2]) ^ CT[i+0]; y2 = gmul4(CT[i+1] ^ CT[i+3]) ^ CT[i+1] ; y1 = gmul4 (CT[i+0] ^ CT[i+2]) ^ CT[i+2]; y0 = gmul4(CT[i+1] ^ CT[i+3]) ^ CT[i+3] ; CT[i+0]=y3; CT[i+1]=y2; CT[i+2]=y1; CT[i+3]=y0; y1 = gmul2(CT[i+0]) ^ CT[i+2] ; y2 = gmul2(CT[i+1]) ^ CT[i+3] ; y3 = gmul2(CT[i+2]) ^ CT[i+0] ; y4 = gmul2(CT[i+3]) ^ CT[i+1] ; q0 = y1^y2^CT[i+1]; q1= y2^y3^CT[i+2]; q2 = y3^y4^CT[i+3]; q3= y4^y1^CT[i+0]; CT[i+0]=q0; CT[i+1]=q1;CT[i+2]=q2;CT[i+3]=q3; } } void SRow (unsigned char* CT) { unsigned char t1,t2; t1 = CT[1]; CT[1]=CT[5]; CT[5]=CT[9]; CT[9]=CT[13];CT[13]=t1; t1 = CT[2]; CT[2]=CT[10]; t2= CT[6]; CT[6]=CT[14]; CT[10]= t1; CT[14] = t2; t1 = CT[15]; CT[15]=CT[11]; CT[11]=CT[7]; CT[7] = CT[3]; CT[3]=t1; } void ISRow (unsigned char* CT) { unsigned char t1,t2; t1 = CT[13]; CT[13]=CT[9]; CT[9]=CT[5]; CT[5]=CT[1];CT[1]=t1; t1 = CT[2]; CT[2]=CT[10]; t2= CT[6]; CT[6]=CT[14]; CT[10]= t1; CT[14] = t2; t1 = CT[3]; CT[3]=CT[7]; CT[7]=CT[11]; CT[11] = CT[15]; CT[15]=t1; } void SByte (unsigned char* CT) { int i; for(i=0;i<16;i++) CT[i] = sbox[ CT[i] ]; } void ISByte (unsigned char* CT) { int i; for(i=0;i<16;i++) CT[i] = isbox[ CT[i] ]; } void show(unsigned char * CT) { } /* Comment out the following with above to easily remove show calls void show(unsigned char* CT) { int i; for(i=0;i<16;i++){printf("%02x ",CT[i]); if (i%4==3) printf(" ");} printf("\n"); } */ void RFunc (unsigned char* CT) { SByte(CT); SRow(CT); show(CT); Mcol(CT); show(CT); } void IRFunc (unsigned char* CT) { show(CT); IMcol(CT); show(CT); ISRow(CT); ISByte(CT); show(CT); } void Fork_enc(unsigned char* Key,unsigned char* T, unsigned char* PT, unsigned char* CT, unsigned char* IT, unsigned char* DecKey) { int i,round; unsigned char RKey[272]; for(i=0;i<16;i++) RKey [i]=Key[i]; expand_key(RKey); for(i=0;i<16;i++) DecKey[i] = RKey[160+i]; //printf("++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++\n"); for(i=0;i<17;i++)show(RKey+16*i); //printf("++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++\n"); for(i=0;i<16;i++)CT[i]=PT[i]; for(round=0;round<=4;round++) { for(i=0;i<16;i++) CT[i] ^= (RKey[i+round*16]^T[i]); //show(CT); RFunc(CT); //show(CT); //printf("=====\n"); } //printf("================================================\n"); for(i=0;i<16;i++)IT[i]=CT[i]; for(round=5;round<=9;round++) { for(i=0;i<16;i++) CT[i] ^= (RKey[i+round*16]^T[i]); RFunc(CT); //show(CT); //printf("=====\n"); } for(i=0;i<16;i++) CT[i] ^= (RKey[i+10*16]^T[i]); //CT1 //show(CT); //printf("================================================\n"); //printf("%02x %02x %02x \n", IT[0],T[0], RKey[176]); for(round=11;round<=15;round++) { for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); //show(IT); RFunc(IT); //show(IT); //printf("=====\n"); } for(i=0;i<16;i++) IT[i] ^= (RKey[i+16*16]^T[i]); //CT2 show(IT); //printf("================================================\n"); } void Fork_dec0(unsigned char* Key,unsigned char* T, unsigned char* CT, unsigned char* PT ) { int i,round; unsigned char RKey[272]; for(i=0;i<16;i++) RKey [i]=Key[i]; expand_key(RKey); for(i=0;i<16;i++)PT[i]=CT[i]; for(round=10;round>=1;round--){ show(RKey + round*16); for(i=0;i<16;i++) PT[i] ^= (RKey[i+round*16]^T[i]); IRFunc(PT); //printf("=====\n"); } show(RKey); for(i=0;i<16;i++) PT[i] ^= (RKey[i+0*16]^T[i]); //PT show(PT); } void Fork_rec0(unsigned char* Key,unsigned char* T, unsigned char* IT, unsigned char* CT ) { int i,round; unsigned char RKey[272]; for(i=0;i<16;i++) RKey [i]=Key[i]; expand_key(RKey); for(i=0;i<16;i++)IT[i]=CT[i]; for(round=10;round>=6;round--) { for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); show(RKey + round*16); IRFunc(IT); //printf("=====\n"); } for(i=0;i<16;i++) IT[i] ^= (RKey[i+5*16]^T[i]); show(RKey + 5*16); show(IT); //printf("=====\n"); for(round=11;round<=15;round++) { for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); show(RKey + round*16); RFunc(IT); //printf("=====\n"); } for(i=0;i<16;i++) IT[i] ^= (RKey[i+round*16]^T[i]); show(RKey + round*16); show(IT); //printf("=====\n"); } void save_enc(FILE *in, FILE* out, unsigned char* Key, unsigned char* Tweak, unsigned char* PT, unsigned char* CT, unsigned char* IT) { int i; for(i=0;i<16;i++) fprintf(in, "%02X", PT[i]); fprintf(in, "\n"); for(i=0;i<16;i++) fprintf(in, "%02X", Key[i]); fprintf(in, "\n"); for(i=0;i<8;i++) fprintf(in, "%02X", Tweak[i]); fprintf(in, "\n"); fprintf(in, "%01X", 0); fprintf(in, "\n"); for(i=0;i<16;i++) fprintf(out, "%02X", CT[i]); fprintf(out, "\n"); for(i=0;i<16;i++) fprintf(out, "%02X", IT[i]); fprintf(out, "\n"); } void save_dec(FILE *in, FILE* out, unsigned char* DecKey, unsigned char* Tweak, unsigned char* PT, unsigned char* CT) { int i; for(i=0;i<16;i++) fprintf(in, "%02X", CT[i]); fprintf(in, "\n"); for(i=0;i<16;i++) fprintf(in, "%02X", DecKey[i]); fprintf(in, "\n"); for(i=0;i<8;i++) fprintf(in, "%02X", Tweak[i]); fprintf(in, "\n"); fprintf(in, "%01X", 1); fprintf(in, "\n"); for(i=0;i<16;i++) fprintf(out, "%02X", PT[i]); fprintf(out, "\n"); } void save_rec(FILE *in, FILE* out, unsigned char* DecKey, unsigned char* Tweak, unsigned char* IT, unsigned char* CT) { int i; for(i=0;i<16;i++) fprintf(in, "%02X", CT[i]); fprintf(in, "\n"); for(i=0;i<16;i++) fprintf(in, "%02X", DecKey[i]); fprintf(in, "\n"); for(i=0;i<8;i++) fprintf(in, "%02X", Tweak[i]); fprintf(in, "\n"); fprintf(in, "%01X", 2); fprintf(in, "\n"); for(i=0;i<16;i++) fprintf(out, "%02X", IT[i]); fprintf(out, "\n"); } void tweak_expand(unsigned char* Tweak, unsigned char* T) { int i; for(i=0;i<16;i++) { if(i%4==0) T[i] = Tweak[i/4]; else if(i%4==1) T[i] = Tweak[i/4+4]; else T[i] = 0; } } void random_testcase_gen(unsigned char* Key, unsigned char* Tweak, unsigned char* T, unsigned char* PT, unsigned char* CT, unsigned char* IT, unsigned char* DecKey) { int i; for(i = 0; i < 16; i++) sprintf(Key + i, "%X", rand() % 16); for(i = 0; i < 8; i++) sprintf(Tweak + i, "%X", rand() % 16); for(i = 0; i < 16; i++) sprintf(PT + i, "%X", rand() % 16); tweak_expand(Tweak, T); Fork_enc(Key, T, PT, CT, IT, DecKey); } void main() { FILE *in = fopen("Testinput.txt", "w"); FILE *out = fopen("Testoutput.txt", "w"); int i,j; // unsigned char Key[16]={0x2b, 0x7e, 0x15, 0x16, 0x28 , 0xae , 0xd2 , 0xa6 , 0xab , 0xf7 , 0x15 , 0x88 , 0x09 , 0xcf , 0x4f , 0x3c}; // unsigned char PT[16]= {0x32, 0x43, 0xf6, 0xa8, 0x88 , 0x5a , 0x30 , 0x8d , 0x31 , 0x31 , 0x98 , 0xa2 , 0xe0 , 0x37 , 0x07 , 0x34}; unsigned char Key[16]={0x84 , 0x81 , 0x85 , 0xdf , 0xa9 , 0x51 , 0xf1 , 0x1e , 0x13 , 0x97 , 0x24 , 0x8a , 0x6a , 0x69 , 0x8b , 0x17}; unsigned char PT[16]= {0xad , 0x40 , 0xa8 , 0x96 , 0xb1 , 0xc7 , 0xea , 0xa0 , 0x52 , 0xb1 , 0xa7 , 0x0b , 0xd6 , 0x45 , 0xdb , 0x66}; unsigned char CT0[16]= {0x77 , 0x6f , 0xd5 , 0x14 , 0xcf , 0xe2 , 0x89 , 0x9a , 0x06 , 0x5c , 0xcd , 0xa5 , 0x4c , 0x44 , 0xe5 , 0x65}; unsigned char Tweak[8]={0x12,0x23,0x34,0x45,0x56,0x67,0x78,0x83}; unsigned char T[16], CT[16], IT[16], P0[16], P1[16], R[16], DecKey[16]; tweak_expand(Tweak, T); // for(i=0;i<16;i++) if(i%4<2) T[i]=Tweak[k++]; else T[i]=0; Fork_enc(Key,T,PT,CT,IT,DecKey); save_enc(in, out, Key, Tweak, PT, CT, IT); Fork_dec0(Key,T,CT0,P0); save_dec(in, out, DecKey, Tweak, P0, CT0); Fork_rec0(Key,T,IT,CT0); save_rec(in, out, DecKey, Tweak, IT, CT0); - for(j=0; j<333; j++) { + for(j=0; j<100; j++) { random_testcase_gen(Key, Tweak, T, PT, CT, IT, DecKey); save_enc(in, out, Key, Tweak, PT, CT, IT); random_testcase_gen(Key, Tweak, T, PT, CT, IT, DecKey); save_dec(in, out, DecKey, Tweak, PT, CT); random_testcase_gen(Key, Tweak, T, PT, CT, IT, DecKey); save_rec(in, out, DecKey, Tweak, IT, CT); } fclose(in); fclose(out); }