Homec4science

Adding a few more files/file extensions/directories for Synopsys VCS.

Description

Adding a few more files/file extensions/directories for Synopsys VCS.

This new version adds:

  • fsdb waveforms
  • simv.db.dir/ directory for VHDL top configs
  • ucli.key
  • vc_hdrs.h
  • Also added a few comments about where each one of the files come from.

Details

Committed
andres-mancera <andres-mancera@users.noreply.github.com>Apr 23 2015, 16:45
Pushed
aubortJul 2 2018, 16:12
Parents
rGITIGNORE77e29837cf03: Merge pull request #1479 from julienbourdeau/master
Branches
Unknown
Tags
Unknown

Event Timeline

andres-mancera <andres-mancera@users.noreply.github.com> committed rGITIGNOREb2e72cc133c3: Adding a few more files/file extensions/directories for Synopsys VCS. (authored by andres-mancera <andres-mancera@users.noreply.github.com>).Apr 23 2015, 16:45